NN11 ROSE CL RG 20141003.043204.000 20141003.043227.000 20141003.043432.000 STC 1 DAP_Started 126 1. No Yes 3067817155. 260565407. EPD_from_configuration No No 0 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -15000 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15000 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -15000 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 0 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15000 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -15000 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 0 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 271 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938452000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 1 ; // FreqTR2 = 1 ; // FreqDnlkCF = 7168452271 ; // Hz FreqDnlkConv = 7098252000 ; // Hz FreqPnSatTrsType = "Regenerative" ; // RgdPolarisation = "X" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 46 ; // dBHz RgdCFrUnc = 100000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 100.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 1.260 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "CL" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "CL" ; // D2Source = "RGD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "CL" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "CL" ; // G2Source = "RGD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "CL" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "CL" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 1.0 ; // s RgToneSettl = 1.0 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 0.5 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = No ; // RgDualRanging = "master" ; // RgDualSlaveIfms = "IFMS3" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 1 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 0.000 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 10 ; // s UCMaxDs = 10 ; // UCDSetKind = "Frequency" ; // StationId = "NN11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "X" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 1000000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdTLpBw = 0.00050 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 0.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00050 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 1 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = Yes ; // RcdSCLpSRate = 65536.00 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = No ; // ScdExpCN0 = 67 ; // dBHz ScdCFrUnc = 30000 ; // Hz ScdCFrRateUnc = 0 ; // Hz/s ScdCAcqMode = "Sweep" ; // ScdUseAcq = No ; // ScdCLpNoBw = 1000.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "DD" ; // ScdCLp_ChgDel = "STEP" ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 2 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "BPSK" ; // ScdModPRate = 2500000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 0.0 ; // dB ScdModIChCoding = "NRZ-L swap'd" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = Yes ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 75 ; // dBHz GmdCFrUnc = 30000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 1000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 10000000 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime Delay Code AmbF SpCF SpTF CorF KD-1 RecF ToneLevel CodeLevel PhaseError ToneLoopSN DownModIndex 24 20141003.043227.000 3.408155320809e-07 0 No No No Yes 2.109423746788e-14 Yes -8.7 0.000 0.000 91.19629454882 0.695 25 20141003.043228.000 3.405240078001e-07 0 No No No Yes 0 Yes -8.7 0.000 0.000 91.29629454882 0.697 26 20141003.043229.000 3.166329760507e-06 2 No No No Yes -5.073719222537e-14 Yes -8.7 -0.953 0.000 91.09629454882 0.693 27 20141003.043230.000 5.05038565724e-06 4 No No No Yes -5.073719222537e-14 Yes -8.7 -0.953 0.000 91.09629454882 0.696 28 20141003.043231.000 3.519094971191e-05 6 No No No Yes 1.654232306691e-13 Yes -8.7 -0.940 0.000 91.29629454882 0.694 29 20141003.043232.000 0.0001256135734273 8 No No No Yes -1.414424133372e-13 Yes -8.7 -0.938 0.000 91.29629454882 0.692 30 20141003.043233.000 5.049916102529e-06 10 No No No Yes 2.700062395888e-13 Yes -8.7 -0.939 0.000 91.39629454882 0.693 31 20141003.043234.000 5.049897730504e-06 12 No No No Yes -1.344480082821e-13 Yes -8.7 0.939 0.000 91.39629454882 0.694 32 20141003.043235.000 5.049879357601e-06 14 No No No Yes -1.344480082821e-13 Yes -8.7 0.939 0.000 91.39629454882 0.693 33 20141003.043236.000 5.050152973793e-06 14 No No No Yes -2.986499936242e-14 Yes -8.7 0.941 0.000 91.39629454882 0.694 34 20141003.043237.000 5.05032298123e-06 14 Yes No No Yes -2.670086374223e-13 Yes -8.7 0.941 0.000 91.39629454882 0.697 35 20141003.043238.000 5.050153904516e-06 14 Yes No No Yes 2.597921877623e-14 Yes -8.7 0.941 0.000 91.39629454882 0.695 36 20141003.043239.000 5.049965989647e-06 14 Yes No No Yes -1.554312234475e-13 Yes -8.7 0.941 0.000 91.59629454882 0.696 37 20141003.043240.000 5.049919359622e-06 14 Yes No No Yes -1.554312234475e-13 Yes -8.7 0.941 0.000 91.59629454882 0.695 38 20141003.043241.000 5.05005169075e-06 14 Yes No No Yes -1.135758154192e-13 Yes -8.7 0.941 0.000 91.69629454882 0.696 39 20141003.043242.000 5.050249959367e-06 14 Yes No No Yes -1.763034163105e-13 Yes -8.7 0.941 0.000 91.29629454882 0.695 40 20141003.043243.000 5.050109138569e-06 14 Yes No No Yes 3.28626015289e-14 Yes -8.7 0.942 0.000 91.39629454882 0.697 41 20141003.043244.000 5.050137860286e-06 14 Yes No No Yes -8.570921750106e-14 Yes -8.7 0.943 0.000 90.99629454882 0.695 42 20141003.043245.000 5.049686213006e-06 14 Yes No No Yes -8.570921750106e-14 Yes -8.7 0.943 0.000 90.99629454882 0.695 43 20141003.043246.000 5.049404108241e-06 14 Yes No No Yes -3.685940441756e-14 Yes -8.7 0.944 0.000 91.29629454882 0.694 44 20141003.043247.000 5.04936689861e-06 14 Yes No No Yes 6.772360450214e-14 Yes -8.7 0.945 0.000 91.29629454882 0.694 45 20141003.043248.000 5.049395620326e-06 14 Yes No No Yes -9.958700530888e-14 Yes -8.7 0.945 0.000 91.29629454882 0.692 46 20141003.043249.000 5.04997064473e-06 14 Yes No No Yes -1.624256285027e-13 Yes -8.7 0.945 0.000 90.99629454882 0.694 47 20141003.043250.000 5.050018204889e-06 14 Yes No No Yes -1.624256285027e-13 Yes -8.7 0.945 0.000 90.99629454882 0.696 48 20141003.043251.000 5.050235307274e-06 14 Yes No No Yes -2.986499936242e-14 Yes -8.7 0.945 0.000 90.99629454882 0.697 49 20141003.043252.000 5.050000297457e-06 14 Yes No No Yes -1.998401444325e-15 Yes -8.7 0.944 0.000 90.99629454882 0.696 50 20141003.043253.000 5.049944248794e-06 14 Yes No No Yes 1.654232306691e-13 Yes -8.7 0.943 0.000 90.99629454882 0.696 51 20141003.043254.000 5.049888199253e-06 14 Yes No No Yes 3.28626015289e-14 Yes -8.7 0.942 0.000 90.99629454882 0.695 52 20141003.043255.000 5.05005820669e-06 14 Yes No No Yes 3.28626015289e-14 Yes -8.7 0.942 0.000 90.99629454882 0.697 53 20141003.043256.000 5.050312985385e-06 14 Yes No No Yes -2.878808302853e-13 Yes -8.7 0.941 0.000 91.19629454882 0.696 54 20141003.043257.000 5.049983784795e-06 14 Yes No No Yes 1.654232306691e-13 Yes -8.7 0.941 0.000 91.59629454882 0.694 55 20141003.043258.000 5.049908898854e-06 14 Yes No No Yes 5.373479439186e-14 Yes -8.7 0.943 0.000 91.49629454882 0.698 56 20141003.043259.000 5.049834011159e-06 14 Yes No No Yes 7.482903185974e-14 Yes -8.7 0.942 0.000 91.29629454882 0.697 57 20141003.043300.000 5.049985181319e-06 14 Yes No No Yes 7.482903185974e-14 Yes -8.7 0.942 0.000 91.29629454882 0.695 58 20141003.043301.000 5.050108092931e-06 14 Yes No No Yes -2.809974475326e-13 Yes -8.7 0.941 0.000 91.49629454882 0.694 59 20141003.043302.000 5.050212167266e-06 14 Yes No No Yes 1.585398479165e-13 Yes -8.7 0.941 0.000 90.99629454882 0.696 60 20141003.043303.000 5.049995995604e-06 14 Yes No No Yes 2.700062395888e-13 Yes -8.7 0.941 0.000 90.99629454882 0.696 61 20141003.043304.000 5.049704471323e-06 14 Yes No No Yes 7.482903185974e-14 Yes -8.7 0.943 0.000 90.99629454882 0.695 62 20141003.043305.000 5.049893316531e-06 14 Yes No No Yes 7.482903185974e-14 Yes -8.7 0.943 0.000 90.99629454882 0.696 63 20141003.043306.000 5.050044485759e-06 14 Yes No No Yes -1.554312234475e-13 Yes -8.7 0.943 0.000 90.99629454882 0.696 64 20141003.043307.000 5.049894246761e-06 14 Yes No No Yes -1.484368183924e-13 Yes -8.7 0.944 0.000 91.29629454882 0.693 65 20141003.043308.000 5.049433180843e-06 14 Yes No No Yes 2.700062395888e-13 Yes -8.7 0.945 0.000 91.29629454882 0.694 66 20141003.043309.000 5.049386550818e-06 14 Yes No No Yes -5.073719222537e-14 Yes -8.7 0.945 0.000 91.59629454882 0.693 67 20141003.043310.000 5.049217474104e-06 14 Yes No No Yes -5.073719222537e-14 Yes -8.7 0.945 0.000 91.59629454882 0.695 68 20141003.043311.000 5.049312128923e-06 14 Yes No No Yes 2.597921877623e-14 Yes -8.7 0.946 0.000 91.29629454882 0.694 69 20141003.043312.000 5.049472717722e-06 14 Yes No No Yes -3.647082635894e-13 Yes -8.7 0.945 0.000 91.39629454882 0.694 70 20141003.043313.000 5.049812266797e-06 14 Yes No No Yes 4.884981308351e-15 Yes -8.7 0.945 0.000 91.39629454882 0.695 71 20141003.043314.000 5.049746798617e-06 14 Yes No No Yes 1.723066134218e-13 Yes -8.7 0.946 0.000 91.39629454882 0.694 72 20141003.043315.000 5.049756676038e-06 14 Yes No No Yes 1.723066134218e-13 Yes -8.7 0.946 0.000 91.39629454882 0.694 73 20141003.043316.000 5.0498984337e-06 14 Yes No No Yes 2.979838598094e-13 Yes -8.7 0.946 0.000 91.39629454882 0.697 74 20141003.043317.000 5.049974244224e-06 14 Yes No No Yes 4.884981308351e-15 Yes -8.7 0.945 0.000 91.29629454882 0.695 75 20141003.043318.000 5.049767497341e-06 14 Yes No No Yes 7.482903185974e-14 Yes -8.7 0.946 0.000 91.09629454882 0.695 76 20141003.043319.000 5.050116459791e-06 14 Yes No No Yes -5.073719222537e-14 Yes -8.7 0.944 0.000 91.29629454882 0.695 77 20141003.043320.000 5.050022741836e-06 14 Yes No No Yes -5.073719222537e-14 Yes -8.7 0.944 0.000 91.29629454882 0.696 78 20141003.043321.000 5.050343445629e-06 14 Yes No No Yes 3.28626015289e-14 Yes -8.7 0.944 0.000 91.29629454882 0.696 79 20141003.043322.000 5.05007076663e-06 14 Yes No No Yes 7.482903185974e-14 Yes -8.7 0.945 0.000 91.29629454882 0.696 80 20141003.043323.000 5.049864006589e-06 14 Yes No No Yes -3.22852855561e-13 Yes -8.7 0.944 0.000 91.09629454882 0.696 81 20141003.043324.000 5.050184724965e-06 14 Yes No No Yes -7.172040739078e-14 Yes -8.7 0.943 0.000 91.39629454882 0.695 82 20141003.043325.000 5.050109831568e-06 14 Yes No No Yes -7.172040739078e-14 Yes -8.7 0.943 0.000 91.39629454882 0.695 83 20141003.043326.000 5.050308102816e-06 14 Yes No No Yes -9.259260025374e-14 Yes -8.7 0.943 0.000 91.29629454882 0.696 84 20141003.043327.000 5.050261465774e-06 14 Yes No No Yes 1.654232306691e-13 Yes -8.7 0.944 0.000 91.09629454882 0.696 85 20141003.043328.000 5.050233680921e-06 14 Yes No No Yes -1.344480082821e-13 Yes -8.7 0.944 0.000 91.29629454882 0.696 86 20141003.043329.000 5.050017501364e-06 14 Yes No No Yes 2.839950496991e-13 Yes -8.7 0.944 0.000 91.29629454882 0.697 87 20141003.043330.000 5.050036812336e-06 14 Yes No No Yes 2.839950496991e-13 Yes -8.7 0.944 0.000 91.29629454882 0.696 88 20141003.043331.000 5.050018432964e-06 14 Yes No No Yes -2.600142323672e-13 Yes -8.7 0.943 0.000 90.99629454882 0.696 89 20141003.043332.000 5.050216704213e-06 14 Yes No No Yes 1.445510378062e-13 Yes -8.7 0.943 0.000 91.39629454882 0.697 90 20141003.043333.000 5.05001936281e-06 14 Yes No No Yes -7.871481244592e-14 Yes -8.7 0.941 0.000 91.39629454882 0.697 91 20141003.043334.000 5.050029254267e-06 14 Yes No No Yes 1.514344205589e-13 Yes -8.7 0.943 0.000 91.29629454882 0.696 92 20141003.043335.000 5.049888426451e-06 14 Yes No No Yes 1.514344205589e-13 Yes -8.7 0.943 0.000 91.29629454882 0.696 93 20141003.043336.000 5.050105536732e-06 14 Yes No No Yes -1.763034163105e-13 Yes -8.7 0.943 0.000 91.29629454882 0.697 94 20141003.043337.000 5.050143670398e-06 14 Yes No No Yes -1.06581410364e-13 Yes -8.7 0.944 0.000 91.29629454882 0.696 95 20141003.043338.000 5.050276008654e-06 14 Yes No No Yes 6.772360450214e-14 Yes -8.7 0.945 0.000 90.99629454882 0.697 96 20141003.043339.000 5.050314142868e-06 14 Yes No No Yes -1.587618925214e-14 Yes -8.7 0.945 0.000 91.19629454882 0.697 97 20141003.043340.000 5.049966112018e-06 14 Yes No No Yes -1.587618925214e-14 Yes -8.7 0.945 0.000 91.19629454882 0.697 98 20141003.043341.000 5.050079598413e-06 14 Yes No No Yes 1.09690034833e-13 Yes -8.7 0.944 0.000 91.19629454882 0.695 99 20141003.043342.000 5.0499011092e-06 14 Yes No No Yes -1.554312234475e-13 Yes -8.7 0.943 0.000 90.99629454882 0.697 100 20141003.043343.000 5.050014595156e-06 14 Yes No No Yes 1.445510378062e-13 Yes -8.7 0.943 0.000 91.29629454882 0.695 101 20141003.043344.000 5.050429503867e-06 14 Yes No No Yes 3.537170556456e-13 Yes -8.7 0.943 0.000 90.99629454882 0.696 102 20141003.043345.000 5.049836563849e-06 14 Yes No No Yes 3.537170556456e-13 Yes -8.7 0.943 0.000 90.99629454882 0.696 103 20141003.043346.000 5.050025417336e-06 14 Yes No No Yes -9.259260025374e-14 Yes -8.7 0.943 0.000 91.19629454882 0.696 104 20141003.043347.000 5.049846913211e-06 14 Yes No No Yes 2.560174294786e-13 Yes -8.7 0.942 0.000 91.49629454882 0.696 105 20141003.043348.000 5.049903900493e-06 14 Yes No No Yes -1.06581410364e-13 Yes -8.7 0.942 0.000 91.59629454882 0.697 106 20141003.043349.000 5.050224604395e-06 14 Yes No No Yes -4.385380947269e-14 Yes -8.7 0.942 0.000 91.59629454882 0.697 107 20141003.043350.000 5.050196819543e-06 14 Yes No No Yes -4.385380947269e-14 Yes -8.7 0.942 0.000 91.59629454882 0.695 108 20141003.043351.000 5.050319724137e-06 14 Yes No No Yes 3.996802888651e-14 Yes -8.7 0.942 0.000 91.39629454882 0.698 109 20141003.043352.000 5.050065883184e-06 14 Yes No No Yes 4.685141163918e-14 Yes -8.7 0.943 0.000 91.09629454882 0.697 110 20141003.043353.000 5.049717838956e-06 14 Yes No No Yes -9.259260025374e-14 Yes -8.7 0.943 0.000 91.19629454882 0.695 111 20141003.043354.000 5.049963205372e-06 14 Yes No No Yes -1.205702204743e-13 Yes -8.7 0.943 0.000 91.19629454882 0.697 112 20141003.043355.000 5.050142624431e-06 14 Yes No No Yes -1.205702204743e-13 Yes -8.7 0.943 0.000 91.19629454882 0.696 113 20141003.043356.000 5.05010542094e-06 14 Yes No No Yes -3.685940441756e-14 Yes -8.7 0.943 0.000 91.19629454882 0.695 114 20141003.043357.000 5.050256583205e-06 14 Yes No No Yes 4.884981308351e-15 Yes -8.7 0.942 0.000 91.29629454882 0.696 115 20141003.043358.000 5.050068675354e-06 14 Yes No No Yes 1.305622276959e-13 Yes -8.7 0.940 0.000 91.09629454882 0.696 116 20141003.043359.000 5.049682954159e-06 14 Yes No No Yes 1.514344205589e-13 Yes -8.7 0.940 0.000 91.09629454882 0.696 117 20141003.043400.000 5.049815292305e-06 14 Yes No No Yes 1.514344205589e-13 Yes -8.7 0.940 0.000 91.09629454882 0.695 118 20141003.043401.000 5.04996645457e-06 14 Yes No No Yes -1.763034163105e-13 Yes -8.7 0.939 0.000 91.29629454882 0.696 119 20141003.043402.000 5.050042279129e-06 14 Yes No No Yes -7.871481244592e-14 Yes -8.7 0.940 0.000 91.59629454882 0.697 120 20141003.043403.000 5.05017460324e-06 14 Yes No No Yes -2.809974475326e-13 Yes -8.7 0.940 0.000 91.19629454882 0.696 121 20141003.043404.000 5.049986695389e-06 14 Yes No No Yes -1.763034163105e-13 Yes -8.7 0.940 0.000 91.49629454882 0.697 122 20141003.043405.000 5.049911800676e-06 14 Yes No No Yes -1.763034163105e-13 Yes -8.7 0.940 0.000 91.49629454882 0.695 123 20141003.043406.000 5.049884015823e-06 14 Yes No No Yes 6.772360450214e-14 Yes -8.7 0.940 0.000 91.19629454882 0.696 124 20141003.043407.000 5.049893893244e-06 14 Yes No No Yes 4.884981308351e-15 Yes -8.7 0.941 0.000 91.49629454882 0.696 125 20141003.043408.000 5.050007393825e-06 14 Yes No No Yes 1.234568003383e-13 Yes -8.7 0.941 0.000 91.39629454882 0.696 126 20141003.043409.000 5.049951337993e-06 14 Yes No No Yes -1.205702204743e-13 Yes -8.7 0.940 0.000 91.39629454882 0.695 127 20141003.043410.000 5.050121352448e-06 14 Yes No No Yes -1.205702204743e-13 Yes -8.7 0.940 0.000 91.39629454882 0.696 128 20141003.043411.000 5.049839240227e-06 14 Yes No No Yes 9.570122472269e-14 Yes -8.7 0.940 0.000 91.39629454882 0.695 129 20141003.043412.000 5.049858550322e-06 14 Yes No No Yes 8.171241461241e-14 Yes -8.7 0.940 0.000 91.39629454882 0.697 130 20141003.043413.000 5.049830751434e-06 14 Yes No No Yes -9.958700530888e-14 Yes -8.7 0.941 0.000 91.69629454882 0.696 131 20141003.043414.000 5.049991347251e-06 14 Yes No No Yes 5.373479439186e-14 Yes -8.7 0.940 0.000 91.59629454882 0.696 132 20141003.043415.000 5.05013309e-06 14 Yes No No Yes 5.373479439186e-14 Yes -8.7 0.940 0.000 91.59629454882 0.697 133 20141003.043416.000 5.050246590868e-06 14 Yes No No Yes 6.772360450214e-14 Yes -8.7 0.940 0.000 91.39629454882 0.696 134 20141003.043417.000 5.049964479086e-06 14 Yes No No Yes -3.685940441756e-14 Yes -8.7 0.941 0.000 91.59629454882 0.695 135 20141003.043418.000 5.050059126887e-06 14 Yes No No Yes 1.887379141863e-14 Yes -8.7 0.940 0.000 91.29629454882 0.695 136 20141003.043419.000 5.050219735862e-06 14 Yes No No Yes -9.259260025374e-14 Yes -8.7 0.942 0.000 91.29629454882 0.695 137 20141003.043420.000 5.050333222695e-06 14 Yes No No Yes -9.259260025374e-14 Yes -8.7 0.942 0.000 91.29629454882 0.697 138 20141003.043421.000 5.050098204983e-06 14 Yes No No Yes 1.445510378062e-13 Yes -8.7 0.941 0.000 91.29629454882 0.696 139 20141003.043422.000 5.050032729786e-06 14 Yes No No Yes -1.902922264207e-13 Yes -8.7 0.940 0.000 91.29629454882 0.696 140 20141003.043423.000 5.0497977419e-06 14 Yes No No Yes 1.305622276959e-13 Yes -8.7 0.941 0.000 91.39629454882 0.695 141 20141003.043424.000 5.049986579652e-06 14 Yes No No Yes -9.259260025374e-14 Yes -8.7 0.942 0.000 91.39629454882 0.696 142 20141003.043425.000 5.049883428748e-06 14 Yes No No Yes -9.259260025374e-14 Yes -8.7 0.942 0.000 91.39629454882 0.696 143 20141003.043426.000 5.050015753078e-06 14 Yes No No Yes 1.585398479165e-13 Yes -8.7 0.942 0.000 91.39629454882 0.695 144 20141003.043427.000 5.050242295155e-06 14 Yes No No Yes 6.084022174946e-14 Yes -8.7 0.942 0.000 91.39629454882 0.696 145 20141003.043428.000 5.050167401319e-06 14 Yes No No Yes -2.986499936242e-14 Yes -8.7 0.942 0.000 91.59629454882 0.696 146 20141003.043429.000 5.050139602431e-06 14 Yes No No Yes -1.587618925214e-14 Yes -8.7 0.941 0.000 91.49629454882 0.696 147 20141003.043430.000 5.050064708595e-06 14 Yes No No Yes -1.587618925214e-14 Yes -8.7 0.941 0.000 91.49629454882 0.696 148 20141003.043431.000 5.050055775933e-06 14 Yes No No Yes -7.172040739078e-14 Yes -8.7 0.941 0.000 91.29629454882 0.696 149 20141003.043432.000 5.049933787149e-06 14 Yes No No Yes -1.06581410364e-13 Yes -8.7 0.943 0.000 91.19629454882 0.696