NN11 ROSE CL RG 20141001.104712.000 20141001.104735.000 20141001.104940.000 STC 1 DAP_Started 126 1. No Yes 3067808075. 260565407. EPD_from_configuration No No 0 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -15000 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15000 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -15000 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 0 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15000 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -15000 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 0 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 419 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938459000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 1 ; // FreqTR2 = 1 ; // FreqDnlkCF = 7168459419 ; // Hz FreqDnlkConv = 7098259000 ; // Hz FreqPnSatTrsType = "Regenerative" ; // RgdPolarisation = "X" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 46 ; // dBHz RgdCFrUnc = 100000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 100.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 1.260 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "CL" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "CL" ; // D2Source = "RGD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "CL" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "CL" ; // G2Source = "RGD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "CL" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "CL" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 1.0 ; // s RgToneSettl = 1.0 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 0.5 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = No ; // RgDualRanging = "master" ; // RgDualSlaveIfms = "IFMS3" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 1 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 0.000 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 10 ; // s UCMaxDs = 10 ; // UCDSetKind = "Frequency" ; // StationId = "NN11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "X" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 1000000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdTLpBw = 0.00050 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 0.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00050 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 1 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = Yes ; // RcdSCLpSRate = 65536.00 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = No ; // ScdExpCN0 = 67 ; // dBHz ScdCFrUnc = 30000 ; // Hz ScdCFrRateUnc = 0 ; // Hz/s ScdCAcqMode = "Sweep" ; // ScdUseAcq = No ; // ScdCLpNoBw = 1000.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "DD" ; // ScdCLp_ChgDel = "STEP" ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 2 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "BPSK" ; // ScdModPRate = 2500000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 0.0 ; // dB ScdModIChCoding = "NRZ-L swap'd" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = Yes ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 75 ; // dBHz GmdCFrUnc = 30000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 1000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 10000000 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime Delay Code AmbF SpCF SpTF CorF KD-1 RecF ToneLevel CodeLevel PhaseError ToneLoopSN DownModIndex 24 20141001.104735.000 3.37876802766e-07 0 No No No Yes -1.612991720079e-06 Yes -8.7 0.000 0.000 92.99629454882 0.691 25 20141001.104736.000 3.378301718638e-07 0 No No No Yes 0 Yes -8.7 0.000 0.000 92.89629454882 0.693 26 20141001.104737.000 1.279505140143e-06 1 No No No Yes -1.52433621281e-13 Yes -8.8 0.000 0.000 92.79629454882 0.688 27 20141001.104738.000 5.04705132931e-06 3 No No No Yes -5.473399511402e-14 Yes -8.8 -0.964 0.000 92.89629454882 0.687 28 20141001.104739.000 5.046919929782e-06 5 No No No Yes -8.271161533457e-14 Yes -8.8 -0.949 0.000 92.79629454882 0.689 29 20141001.104740.000 5.046920394705e-06 7 No No No Yes -8.271161533457e-14 Yes -8.8 -0.949 0.000 92.79629454882 0.688 30 20141001.104741.000 5.047288200491e-06 9 No No No Yes 7.993605777301e-15 Yes -8.8 -0.950 0.000 92.79629454882 0.69 31 20141001.104742.000 5.047250989625e-06 11 No No No Yes 2.520206265899e-13 Yes -8.8 -0.948 0.000 92.79629454882 0.69 32 20141001.104743.000 5.047157264652e-06 13 No No No Yes 6.372680161348e-14 Yes -8.7 0.947 0.000 92.99629454882 0.691 33 20141001.104744.000 5.047016444731e-06 14 Yes No No Yes -3.386180225107e-14 Yes -8.8 0.951 0.000 92.79629454882 0.691 34 20141001.104745.000 5.047261804349e-06 14 Yes No No Yes -3.386180225107e-14 Yes -8.8 0.951 0.000 92.79629454882 0.691 35 20141001.104746.000 5.046913766482e-06 14 Yes No No Yes -1.384448111708e-13 Yes -8.8 0.951 0.000 93.09629454882 0.689 36 20141001.104747.000 5.047074354403e-06 14 Yes No No Yes -3.386180225107e-14 Yes -8.8 0.952 0.000 93.29629454882 0.691 37 20141001.104748.000 5.047084238842e-06 14 Yes No No Yes 5.684341886081e-14 Yes -8.7 0.951 0.000 93.39629454882 0.694 38 20141001.104749.000 5.047160056383e-06 14 Yes No No Yes -1.035838081975e-13 Yes -8.7 0.950 0.000 93.19629454882 0.692 39 20141001.104750.000 5.047151101791e-06 14 Yes No No Yes -7.571721027944e-14 Yes -8.7 0.951 0.000 93.39629454882 0.691 40 20141001.104751.000 5.04712330992e-06 14 Yes No No Yes 1.962874307537e-13 Yes -8.7 0.952 0.000 93.39629454882 0.693 41 20141001.104752.000 5.047180290184e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.7 0.950 0.000 93.19629454882 0.692 42 20141001.104753.000 5.047095982973e-06 14 Yes No No Yes 3.497202527569e-13 Yes -8.7 0.950 0.000 93.39629454882 0.692 43 20141001.104754.000 5.047134124205e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.7 0.951 0.000 93.19629454882 0.692 44 20141001.104755.000 5.047257037572e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.7 0.951 0.000 93.19629454882 0.693 45 20141001.104756.000 5.047219826186e-06 14 Yes No No Yes 6.372680161348e-14 Yes -8.7 0.951 0.000 93.39629454882 0.692 46 20141001.104757.000 5.047305062366e-06 14 Yes No No Yes -1.035838081975e-13 Yes -8.7 0.951 0.000 93.39629454882 0.691 47 20141001.104758.000 5.046994700369e-06 14 Yes No No Yes 1.265654248073e-13 Yes -8.8 0.951 0.000 93.09629454882 0.691 48 20141001.104759.000 5.047061098395e-06 14 Yes No No Yes -2.361444373378e-13 Yes -8.7 0.951 0.000 93.19629454882 0.692 49 20141001.104800.000 5.04716517273e-06 14 Yes No No Yes -2.361444373378e-13 Yes -8.7 0.951 0.000 93.19629454882 0.693 50 20141001.104801.000 5.047146799937e-06 14 Yes No No Yes 1.056932319443e-13 Yes -8.8 0.950 0.000 93.09629454882 0.691 51 20141001.104802.000 5.047194359808e-06 14 Yes No No Yes 1.110223024625e-15 Yes -8.7 0.951 0.000 93.39629454882 0.692 52 20141001.104803.000 5.047044121248e-06 14 Yes No No Yes 6.372680161348e-14 Yes -8.8 0.951 0.000 93.09629454882 0.691 53 20141001.104804.000 5.047148195583e-06 14 Yes No No Yes -6.87228052243e-14 Yes -8.7 0.953 0.000 93.39629454882 0.692 54 20141001.104805.000 5.047073308765e-06 14 Yes No No Yes -5.995204332976e-15 Yes -8.7 0.952 0.000 93.49629454882 0.692 55 20141001.104806.000 5.047026679617e-06 14 Yes No No Yes 7.083222897108e-14 Yes -8.7 0.952 0.000 93.19629454882 0.692 56 20141001.104807.000 5.047140171714e-06 14 Yes No No Yes -1.73305814144e-13 Yes -8.7 0.952 0.000 92.99629454882 0.692 57 20141001.104808.000 5.046829810155e-06 14 Yes No No Yes -8.271161533457e-14 Yes -8.8 0.952 0.000 93.19629454882 0.69 58 20141001.104809.000 5.046736085182e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.8 0.953 0.000 92.89629454882 0.69 59 20141001.104810.000 5.04716982503e-06 14 Yes No No Yes 1.265654248073e-13 Yes -8.8 0.953 0.000 92.89629454882 0.691 60 20141001.104811.000 5.046915977059e-06 14 Yes No No Yes 7.083222897108e-14 Yes -8.8 0.953 0.000 92.89629454882 0.689 61 20141001.104812.000 5.046652709571e-06 14 Yes No No Yes -7.571721027944e-14 Yes -8.8 0.953 0.000 93.19629454882 0.689 62 20141001.104813.000 5.047124125071e-06 14 Yes No No Yes 3.597122599786e-14 Yes -8.7 0.954 0.000 92.99629454882 0.693 63 20141001.104814.000 5.046936210202e-06 14 Yes No No Yes -6.172840016916e-14 Yes -8.8 0.953 0.000 92.89629454882 0.69 64 20141001.104815.000 5.046550496245e-06 14 Yes No No Yes -6.87228052243e-14 Yes -8.8 0.953 0.000 92.89629454882 0.69 65 20141001.104816.000 5.047097263704e-06 14 Yes No No Yes -8.271161533457e-14 Yes -8.7 0.951 0.000 93.09629454882 0.692 66 20141001.104817.000 5.047182499885e-06 14 Yes No No Yes -1.454392162259e-13 Yes -8.8 0.951 0.000 92.89629454882 0.691 67 20141001.104818.000 5.047069936757e-06 14 Yes No No Yes 2.31148433727e-13 Yes -8.8 0.952 0.000 93.19629454882 0.691 68 20141001.104819.000 5.047117497505e-06 14 Yes No No Yes 2.886579864025e-14 Yes -8.8 0.952 0.000 92.89629454882 0.691 69 20141001.104820.000 5.047174476015e-06 14 Yes No No Yes 2.886579864025e-14 Yes -8.7 0.952 0.000 92.99629454882 0.693 70 20141001.104821.000 5.047080751042e-06 14 Yes No No Yes 1.683098105332e-13 Yes -8.7 0.950 0.000 92.99629454882 0.693 71 20141001.104822.000 5.047260177995e-06 14 Yes No No Yes 1.334488075599e-13 Yes -8.7 0.949 0.000 92.99629454882 0.692 72 20141001.104823.000 5.047175865521e-06 14 Yes No No Yes -9.658940314239e-14 Yes -8.8 0.950 0.000 92.89629454882 0.691 73 20141001.104824.000 5.04715749843e-06 14 Yes No No Yes -1.035838081975e-13 Yes -8.8 0.951 0.000 93.19629454882 0.691 74 20141001.104825.000 5.047016672368e-06 14 Yes No No Yes -1.035838081975e-13 Yes -8.8 0.951 0.000 93.19629454882 0.689 75 20141001.104826.000 5.046659222003e-06 14 Yes No No Yes -7.571721027944e-14 Yes -8.8 0.953 0.000 93.19629454882 0.69 76 20141001.104827.000 5.046574909528e-06 14 Yes No No Yes 1.056932319443e-13 Yes -8.8 0.953 0.000 93.19629454882 0.69 77 20141001.104828.000 5.046565961953e-06 14 Yes No No Yes 1.056932319443e-13 Yes -8.8 0.953 0.000 92.89629454882 0.69 78 20141001.104829.000 5.04708446593e-06 14 Yes No No Yes -2.012834343645e-13 Yes -8.7 0.951 0.000 92.99629454882 0.692 79 20141001.104830.000 5.047254480276e-06 14 Yes No No Yes -2.012834343645e-13 Yes -8.8 0.951 0.000 92.89629454882 0.691 80 20141001.104831.000 5.047000625068e-06 14 Yes No No Yes 1.683098105332e-13 Yes -8.7 0.951 0.000 92.99629454882 0.692 81 20141001.104832.000 5.04691632619e-06 14 Yes No No Yes -2.291500322826e-13 Yes -8.8 0.952 0.000 92.99629454882 0.691 82 20141001.104833.000 5.047114583403e-06 14 Yes No No Yes -1.594280263362e-13 Yes -8.7 0.951 0.000 93.39629454882 0.692 83 20141001.104834.000 5.047039703602e-06 14 Yes No No Yes 1.196820420546e-13 Yes -8.7 0.951 0.000 93.09629454882 0.691 84 20141001.104835.000 5.047153190435e-06 14 Yes No No Yes 1.196820420546e-13 Yes -8.7 0.951 0.000 93.09629454882 0.692 85 20141001.104836.000 5.046965281707e-06 14 Yes No No Yes 6.372680161348e-14 Yes -8.8 0.950 0.000 93.19629454882 0.691 86 20141001.104837.000 5.046862131955e-06 14 Yes No No Yes 2.198241588758e-14 Yes -8.8 0.951 0.000 92.89629454882 0.689 87 20141001.104838.000 5.046890860689e-06 14 Yes No No Yes 2.660094367002e-13 Yes -8.8 0.951 0.000 93.09629454882 0.69 88 20141001.104839.000 5.047277497694e-06 14 Yes No No Yes 9.170442183404e-14 Yes -8.7 0.951 0.000 93.19629454882 0.693 89 20141001.104840.000 5.047136685668e-06 14 Yes No No Yes 7.993605777301e-15 Yes -8.7 0.950 0.000 92.99629454882 0.693 90 20141001.104841.000 5.047118304542e-06 14 Yes No No Yes 2.10276240864e-13 Yes -8.7 0.950 0.000 92.99629454882 0.692 91 20141001.104842.000 5.047203548617e-06 14 Yes No No Yes -1.663114090888e-13 Yes -8.8 0.950 0.000 92.89629454882 0.691 92 20141001.104843.000 5.047222843799e-06 14 Yes No No Yes -4.523048602323e-13 Yes -8.7 0.950 0.000 93.29629454882 0.692 93 20141001.104844.000 5.047044355464e-06 14 Yes No No Yes -1.987299214079e-14 Yes -8.8 0.950 0.000 92.89629454882 0.691 94 20141001.104845.000 5.047289707845e-06 14 Yes No No Yes -5.473399511402e-14 Yes -8.7 0.950 0.000 92.99629454882 0.692 95 20141001.104846.000 5.047318437017e-06 14 Yes No No Yes 2.731148640578e-13 Yes -8.7 0.950 0.000 93.29629454882 0.692 96 20141001.104847.000 5.047158772143e-06 14 Yes No No Yes -6.87228052243e-14 Yes -8.7 0.950 0.000 93.29629454882 0.692 97 20141001.104848.000 5.047121568652e-06 14 Yes No No Yes 7.993605777301e-15 Yes -8.8 0.950 0.000 93.19629454882 0.69 98 20141001.104849.000 5.047074931609e-06 14 Yes No No Yes -2.431388423929e-13 Yes -8.7 0.950 0.000 93.29629454882 0.692 99 20141001.104850.000 5.046896442397e-06 14 Yes No No Yes -2.431388423929e-13 Yes -8.8 0.950 0.000 93.19629454882 0.69 100 20141001.104851.000 5.046812129922e-06 14 Yes No No Yes -9.658940314239e-14 Yes -8.8 0.952 0.000 93.29629454882 0.689 101 20141001.104852.000 5.046464098195e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.8 0.951 0.000 93.19629454882 0.689 102 20141001.104853.000 5.046784803852e-06 14 Yes No No Yes 3.07975867031e-13 Yes -8.8 0.953 0.000 93.49629454882 0.691 103 20141001.104854.000 5.046898302966e-06 14 Yes No No Yes 2.31148433727e-13 Yes -8.8 0.952 0.000 93.29629454882 0.689 104 20141001.104855.000 5.046795153214e-06 14 Yes No No Yes 2.31148433727e-13 Yes -8.7 0.952 0.000 93.39629454882 0.692 105 20141001.104856.000 5.046852138741e-06 14 Yes No No Yes -1.287858708565e-14 Yes -8.8 0.952 0.000 93.49629454882 0.69 106 20141001.104857.000 5.04688085344e-06 14 Yes No No Yes 2.886579864025e-14 Yes -8.8 0.952 0.000 93.29629454882 0.69 107 20141001.104858.000 5.047079124689e-06 14 Yes No No Yes 6.372680161348e-14 Yes -8.7 0.952 0.000 93.29629454882 0.692 108 20141001.104859.000 5.047192611522e-06 14 Yes No No Yes 1.683098105332e-13 Yes -8.7 0.949 0.000 93.29629454882 0.692 109 20141001.104900.000 5.04722134053e-06 14 Yes No No Yes 1.683098105332e-13 Yes -8.7 0.949 0.000 93.29629454882 0.692 110 20141001.104901.000 5.047023999511e-06 14 Yes No No Yes -1.035838081975e-13 Yes -8.8 0.949 0.000 93.39629454882 0.691 111 20141001.104902.000 5.046760739699e-06 14 Yes No No Yes 5.684341886081e-14 Yes -8.8 0.950 0.000 93.09629454882 0.688 112 20141001.104903.000 5.046676426786e-06 14 Yes No No Yes -4.085620730621e-14 Yes -8.8 0.951 0.000 93.09629454882 0.69 113 20141001.104904.000 5.04635665273e-06 14 Yes No No Yes -5.473399511402e-14 Yes -8.8 0.952 0.000 93.29629454882 0.69 114 20141001.104905.000 5.046649099839e-06 14 Yes No No Yes -5.473399511402e-14 Yes -8.8 0.952 0.000 93.29629454882 0.689 115 20141001.104906.000 5.047016913602e-06 14 Yes No No Yes -7.571721027944e-14 Yes -8.8 0.953 0.000 93.29629454882 0.691 116 20141001.104907.000 5.046857248509e-06 14 Yes No No Yes -1.287858708565e-14 Yes -8.8 0.954 0.000 93.19629454882 0.69 117 20141001.104908.000 5.04688597812e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.8 0.953 0.000 93.39629454882 0.688 118 20141001.104909.000 5.046848759716e-06 14 Yes No No Yes -9.658940314239e-14 Yes -8.8 0.952 0.000 93.19629454882 0.691 119 20141001.104910.000 5.047047030965e-06 14 Yes No No Yes -9.658940314239e-14 Yes -8.7 0.952 0.000 93.29629454882 0.691 120 20141001.104911.000 5.047141678766e-06 14 Yes No No Yes 1.334488075599e-13 Yes -8.8 0.953 0.000 93.49629454882 0.689 121 20141001.104912.000 5.046755971607e-06 14 Yes No No Yes -2.686739719593e-14 Yes -8.8 0.952 0.000 93.09629454882 0.69 122 20141001.104913.000 5.047076677264e-06 14 Yes No No Yes 7.083222897108e-14 Yes -8.7 0.952 0.000 93.49629454882 0.693 123 20141001.104914.000 5.047133662791e-06 14 Yes No No Yes -1.803002191991e-13 Yes -8.7 0.952 0.000 93.19629454882 0.691 124 20141001.104915.000 5.047030511285e-06 14 Yes No No Yes -1.803002191991e-13 Yes -8.7 0.952 0.000 93.19629454882 0.692 125 20141001.104916.000 5.04715343134e-06 14 Yes No No Yes 1.614264277805e-13 Yes -8.8 0.952 0.000 93.09629454882 0.691 126 20141001.104917.000 5.047144470497e-06 14 Yes No No Yes -8.271161533457e-14 Yes -8.7 0.952 0.000 93.39629454882 0.692 127 20141001.104918.000 5.047116685644e-06 14 Yes No No Yes 1.89404048001e-13 Yes -8.8 0.952 0.000 93.19629454882 0.691 128 20141001.104919.000 5.046994696861e-06 14 Yes No No Yes -3.198552533945e-13 Yes -8.8 0.951 0.000 93.49629454882 0.691 129 20141001.104920.000 5.047268320289e-06 14 Yes No No Yes -3.198552533945e-13 Yes -8.8 0.951 0.000 93.49629454882 0.691 130 20141001.104921.000 5.047023883938e-06 14 Yes No No Yes 8.459899447644e-14 Yes -8.7 0.951 0.000 93.29629454882 0.691 131 20141001.104922.000 5.04666643445e-06 14 Yes No No Yes -2.779998453661e-13 Yes -8.8 0.952 0.000 93.39629454882 0.69 132 20141001.104923.000 5.046968300198e-06 14 Yes No No Yes 1.265654248073e-13 Yes -8.7 0.952 0.000 93.19629454882 0.691 133 20141001.104924.000 5.047166572324e-06 14 Yes No No Yes -5.995204332976e-15 Yes -8.8 0.951 0.000 93.39629454882 0.691 134 20141001.104925.000 5.04708227213e-06 14 Yes No No Yes -2.221556272275e-13 Yes -8.8 0.952 0.000 93.09629454882 0.691 135 20141001.104926.000 5.046988541894e-06 14 Yes No No Yes 1.89404048001e-13 Yes -8.8 0.951 0.000 93.39629454882 0.69 136 20141001.104927.000 5.046819485355e-06 14 Yes No No Yes 7.771561172376e-14 Yes -8.7 0.952 0.000 93.49629454882 0.691 137 20141001.104928.000 5.046829362777e-06 14 Yes No No Yes -2.686739719593e-14 Yes -8.8 0.952 0.000 93.09629454882 0.691 138 20141001.104929.000 5.046820401166e-06 14 Yes No No Yes 4.973799150321e-14 Yes -8.8 0.953 0.000 93.39629454882 0.69 139 20141001.104930.000 5.047075171966e-06 14 Yes No No Yes 4.973799150321e-14 Yes -8.8 0.953 0.000 93.39629454882 0.691 140 20141001.104931.000 5.046915536698e-06 14 Yes No No Yes 2.868816295631e-13 Yes -8.8 0.952 0.000 93.29629454882 0.689 141 20141001.104932.000 5.046887737042e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.8 0.952 0.000 93.09629454882 0.69 142 20141001.104933.000 5.046888195276e-06 14 Yes No No Yes 1.196820420546e-13 Yes -8.7 0.952 0.000 93.29629454882 0.692 143 20141001.104934.000 5.046916910414e-06 14 Yes No No Yes -2.91877633174e-13 Yes -8.8 0.951 0.000 92.79629454882 0.69 144 20141001.104935.000 5.046870301442e-06 14 Yes No No Yes -2.91877633174e-13 Yes -8.8 0.951 0.000 92.79629454882 0.689 145 20141001.104936.000 5.046974368759e-06 14 Yes No No Yes -8.959499808725e-14 Yes -8.8 0.950 0.000 92.79629454882 0.69 146 20141001.104937.000 5.047125531024e-06 14 Yes No No Yes 1.265654248073e-13 Yes -8.7 0.950 0.000 93.39629454882 0.693 147 20141001.104938.000 5.047210760187e-06 14 Yes No No Yes 1.487698852998e-14 Yes -8.7 0.950 0.000 93.09629454882 0.693 148 20141001.104939.000 5.047286598782e-06 14 Yes No No Yes 2.31148433727e-13 Yes -8.7 0.950 0.000 93.39629454882 0.692 149 20141001.104940.000 5.047183448152e-06 14 Yes No No Yes 2.31148433727e-13 Yes -8.8 0.950 0.000 93.29629454882 0.691