MG11 ROSE OP G2 20160914.205705.000 20160914.225705.000 20160914.231125.000 STC 1 Max_Size_Reached 87 10. No Yes 3067793656. 0 - No No 2 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -14346 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15654 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -14346 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 654 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15654 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -14346 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 654 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 654 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938534000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 880 ; // FreqTR2 = 749 ; // FreqDnlkCF = 8421786703 ; // Hz FreqDnlkConv = 8351066000 ; // Hz FreqPnSatTrsType = "Transparent" ; // RgdPolarisation = "Combined" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 30 ; // dBHz RgdCFrUnc = 10000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 30.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 0.013 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "OP" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "OP" ; // D2Source = "RCD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "OP" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "OP" ; // G2Source = "RCD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "OP" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "OP" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 99.1 ; // s RgToneSettl = 99.1 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 83.0 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = Yes ; // RgDualRanging = "no" ; // RgDualSlaveIfms = "None" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 8 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 2123366.400 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 72000 ; // s UCMaxDs = 3600 ; // UCDSetKind = "Frequency" ; // StationId = "MG11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "Combined" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 100000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdEnableLowSnrExt = No ; // RcdTLpBw = 0.00050 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 262144.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00050 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 6 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = No ; // RcdSCLpSRate = 43690.67 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = Yes ; // ScdExpCN0 = 40 ; // dBHz ScdCFrUnc = 50000 ; // Hz ScdCFrRateUnc = 200 ; // Hz/s ScdCAcqMode = "FFT2" ; // ScdUseAcq = No ; // ScdCLpNoBw = 300.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "RCD" ; // ScdCLp_ChgDel = "STEP" ; // ScdEnableLowSnrExt = No ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 1 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "NRZ/PM" ; // ScdModPRate = 1200000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 1.0 ; // dB ScdModIChCoding = "NRZ-L" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = No ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 75 ; // dBHz GmdCFrUnc = 30000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 1000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdEnableLowSnrExt = No ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 10000000 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime CarrierLevel PolarAngle IncohAgcGain InpPowChY InpPowChX CarrLock CLPhErrStDev CLPhErrPeak CLPhErrMean TimPChLock Es/No-SNR CarrFreqOffs BestLockFreq TonSubLock SubcEstPwr CLSNR TMSNR TMFER TMRxFrames TMGoodFrames 721 20160914.225705.000 -82.3 0.2453 34.5 -53.0 -53.6 Locked 0.0150 0.0470 0.0000 Yes 3.4 195685.166 7168088714.519 Yes 7.0 23.5 3.200 0.0080631 17115 16977 722 20160914.225715.000 -82.8 0.2380 34.5 -53.0 -53.6 Locked 0.0157 0.0425 0.0001 Yes 3.5 195656.558 7168088690.902 Yes 6.8 23.1 3.400 0.0080532 17136 16998 723 20160914.225725.000 -82.6 0.2287 34.5 -53.1 -53.8 Locked 0.0158 0.0630 0.0001 Yes 3.6 195668.163 7168088701.508 Yes 6.8 23.1 3.100 0.0080434 17157 17019 724 20160914.225735.000 -82.4 0.2407 34.5 -53.0 -53.6 Locked 0.0161 0.0608 -0.0000 Yes 3.6 195668.509 7168088702.527 Yes 6.9 22.9 3.800 0.0080331 17179 17041 725 20160914.225745.000 -82.6 0.2390 34.5 -52.9 -53.7 Locked 0.0154 0.0456 0.0000 Yes 3.4 195659.912 7168088695.928 Yes 6.8 23.3 3.300 0.0080233 17200 17062 726 20160914.225755.000 -82.9 0.2468 34.5 -53.0 -53.5 Locked 0.0154 0.0450 -0.0001 Yes 3.5 195676.100 7168088710.423 Yes 6.7 23.3 2.900 0.0080135 17221 17083 727 20160914.225805.000 -82.6 0.2364 34.5 -52.8 -53.5 Locked 0.0160 0.0435 0.0001 Yes 3.4 195643.671 7168088683.530 Yes 6.9 23.0 3.300 0.0080032 17243 17105 728 20160914.225815.000 -82.8 0.2352 34.5 -53.3 -53.6 Locked 0.0164 0.0446 -0.0001 Yes 3.5 195676.768 7168088712.409 Yes 6.8 22.7 3.100 0.0079935 17264 17126 729 20160914.225825.000 -82.9 0.2341 34.3 -52.9 -53.6 Locked 0.0158 0.0550 -0.0000 Yes 3.4 195662.079 7168088700.608 Yes 6.9 23.1 2.900 0.0079838 17285 17147 730 20160914.225835.000 -82.2 0.2423 34.5 -53.0 -53.6 Locked 0.0178 0.0519 0.0000 Yes 3.4 195621.151 7168088666.467 Yes 6.8 22.0 2.800 0.0079737 17307 17169 731 20160914.225845.000 -82.4 0.2321 34.5 -52.9 -53.5 Locked 0.0152 0.0496 0.0000 Yes 3.4 195599.450 7168088648.689 Yes 6.8 23.4 2.800 0.0079640 17328 17190 732 20160914.225855.000 -82.3 0.2420 34.5 -53.0 -53.7 Locked 0.0164 0.0484 0.0001 Yes 3.2 195622.744 7168088669.205 Yes 6.9 22.7 2.600 0.0079543 17349 17211 733 20160914.225905.000 -83.0 0.2396 34.5 -52.8 -53.5 Locked 0.0155 0.0459 -0.0000 Yes 3.2 195644.685 7168088688.565 Yes 6.9 23.2 3.400 0.0079443 17371 17233 734 20160914.225915.000 -82.5 0.2398 34.5 -53.0 -53.9 Locked 0.0163 0.0551 -0.0000 Yes 3.5 195591.423 7168088643.909 Yes 6.9 22.8 3.600 0.0079347 17392 17254 735 20160914.225925.000 -82.1 0.2343 34.3 -52.7 -53.3 Locked 0.0160 0.0464 0.0000 Yes 3.5 195625.323 7168088673.439 Yes 6.8 23.0 3.300 0.0079251 17413 17275 736 20160914.225935.000 -83.0 0.2359 34.3 -52.7 -53.7 Locked 0.0162 0.0425 -0.0000 Yes 3.3 195620.430 7168088669.945 Yes 6.8 22.9 3.300 0.0079151 17435 17297 737 20160914.225945.000 -82.4 0.2380 34.3 -52.7 -53.6 Locked 0.0161 0.0424 0.0000 Yes 3.4 195604.506 7168088657.057 Yes 6.8 22.9 2.800 0.0079056 17456 17318 738 20160914.225955.000 -82.8 0.2344 34.5 -53.1 -53.9 Locked 0.0161 0.0466 -0.0002 Yes 3.4 195589.117 7168088644.620 Yes 6.9 22.9 3.000 0.0078961 17477 17339 739 20160914.230005.000 -83.0 0.2490 34.5 -52.8 -53.3 Locked 0.0155 0.0493 -0.0001 Yes 3.3 195598.953 7168088653.649 Yes 6.9 23.2 3.500 0.0078862 17499 17361 740 20160914.230015.000 -82.8 0.2375 34.5 -53.0 -53.3 Locked 0.0159 0.0440 -0.0000 Yes 3.4 195638.468 7168088687.937 Yes 7.0 23.0 3.200 0.0078767 17520 17382 741 20160914.230025.000 -83.3 0.2325 34.3 -52.7 -53.2 Locked 0.0167 0.0608 -0.0002 Yes 3.4 195588.428 7168088645.992 Yes 7.0 22.6 2.700 0.0078668 17542 17404 742 20160914.230035.000 -83.2 0.2346 34.3 -52.7 -53.5 Locked 0.0167 0.0567 -0.0000 Yes 3.3 195603.088 7168088659.115 Yes 6.9 22.6 2.900 0.0078574 17563 17425 743 20160914.230045.000 -82.0 0.2332 34.5 -52.9 -53.6 Locked 0.0162 0.0495 -0.0000 Yes 3.2 195618.339 7168088672.736 Yes 6.8 22.9 2.800 0.0078480 17584 17446 744 20160914.230055.000 -82.6 0.2369 34.5 -52.8 -53.4 Locked 0.0155 0.0460 0.0000 Yes 3.3 195593.171 7168088651.948 Yes 7.0 23.2 3.400 0.0078382 17606 17468 745 20160914.230105.000 -82.6 0.2436 34.5 -52.9 -53.6 Locked 0.0156 0.0497 0.0000 Yes 3.3 195585.332 7168088645.905 Yes 6.9 23.2 3.400 0.0078289 17627 17489 746 20160914.230115.000 -82.3 0.2394 34.5 -52.9 -53.6 Locked 0.0162 0.0396 -0.0000 Yes 3.3 195546.391 7168088613.386 Yes 6.9 22.9 2.900 0.0078196 17648 17510 747 20160914.230125.000 -83.3 0.2476 34.3 -52.7 -53.6 Locked 0.0160 0.0615 0.0000 Yes 3.4 195570.769 7168088634.758 Yes 6.9 23.0 3.100 0.0078098 17670 17532 748 20160914.230135.000 -82.7 0.2404 34.3 -52.5 -53.3 Locked 0.0165 0.0572 -0.0000 Yes 3.5 195583.441 7168088646.161 Yes 6.9 22.7 3.300 0.0078006 17691 17553 749 20160914.230145.000 -82.7 0.2327 34.3 -53.0 -53.4 Locked 0.0154 0.0448 0.0000 Yes 3.4 195564.340 7168088630.515 Yes 6.8 23.3 3.000 0.0077913 17712 17574 750 20160914.230155.000 -83.0 0.2459 34.5 -52.7 -53.2 Locked 0.0173 0.0493 -0.0000 Yes 3.3 195586.195 7168088649.727 Yes 6.9 22.3 3.200 0.0077817 17734 17596 751 20160914.230205.000 -83.0 0.2391 34.3 -52.9 -53.5 Locked 0.0160 0.0491 -0.0002 Yes 3.4 195542.223 7168088612.902 Yes 6.9 23.0 2.800 0.0077725 17755 17617 752 20160914.230215.000 -82.5 0.2399 34.5 -52.9 -53.7 Locked 0.0158 0.0481 -0.0002 Yes 3.4 195542.476 7168088613.717 Yes 6.9 23.1 3.100 0.0077633 17776 17638 753 20160914.230225.000 -82.3 0.2437 34.5 -52.7 -53.5 Locked 0.0163 0.0446 0.0000 Yes 3.0 195587.202 7168088652.382 Yes 7.0 22.8 3.400 0.0077537 17798 17660 754 20160914.230235.000 -82.2 0.2397 34.3 -52.8 -53.5 Locked 0.0149 0.0520 -0.0000 Yes 3.2 195553.546 7168088624.325 Yes 6.7 23.6 2.600 0.0077445 17819 17681 755 20160914.230245.000 -82.4 0.2342 34.3 -52.7 -53.4 Locked 0.0161 0.0607 -0.0000 Yes 3.4 195537.207 7168088611.004 Yes 6.9 22.9 2.900 0.0077354 17840 17702 756 20160914.230255.000 -82.5 0.2378 34.3 -52.8 -53.4 Locked 0.0157 0.0521 -0.0000 Yes 3.4 195578.050 7168088646.351 Yes 6.8 23.1 3.000 0.0077259 17862 17724 757 20160914.230305.000 -82.4 0.2425 34.3 -52.7 -53.5 Locked 0.0164 0.0492 -0.0000 Yes 3.5 195521.321 7168088598.640 Yes 6.8 22.7 3.500 0.0077168 17883 17745 758 20160914.230315.000 -82.1 0.2413 34.5 -52.9 -53.5 Locked 0.0154 0.0448 0.0002 Yes 3.6 195531.438 7168088607.824 Yes 6.9 23.3 3.300 0.0077078 17904 17766 759 20160914.230325.000 -82.7 0.2427 34.3 -52.8 -53.3 Locked 0.0151 0.0486 0.0000 Yes 3.5 195518.155 7168088597.086 Yes 6.9 23.5 3.000 0.0076983 17926 17788 760 20160914.230335.000 -82.1 0.2438 34.3 -52.7 -53.2 Locked 0.0157 0.0646 -0.0002 Yes 3.4 195529.796 7168088607.559 Yes 6.9 23.1 2.900 0.0076893 17947 17809 761 20160914.230345.000 -82.2 0.2324 34.3 -52.9 -53.4 Locked 0.0169 0.0512 -0.0000 Yes 3.3 195524.177 7168088603.335 Yes 6.9 22.5 3.100 0.0076803 17968 17830 762 20160914.230355.000 -82.7 0.2429 34.5 -53.1 -53.4 Locked 0.0165 0.0490 -0.0000 Yes 3.3 195470.527 7168088558.224 Yes 6.9 22.7 3.200 0.0076709 17990 17852 763 20160914.230405.000 -82.9 0.2435 34.3 -52.8 -53.4 Locked 0.0166 0.0521 0.0000 Yes 3.3 195493.284 7168088578.145 Yes 7.0 22.6 3.100 0.0076620 18011 17873 764 20160914.230415.000 -82.5 0.2436 34.3 -52.7 -53.5 Locked 0.0160 0.0494 -0.0000 Yes 3.4 195499.595 7168088584.063 Yes 6.8 23.0 2.700 0.0076526 18033 17895 765 20160914.230425.000 -82.8 0.2425 34.5 -53.1 -53.7 Locked 0.0166 0.0633 -0.0000 Yes 3.1 195518.501 7168088600.697 Yes 6.9 22.6 3.400 0.0076437 18054 17916 766 20160914.230435.000 -82.2 0.2428 34.3 -52.8 -53.2 Locked 0.0158 0.0475 0.0000 Yes 3.4 195466.616 7168088557.070 Yes 6.9 23.1 3.100 0.0076349 18075 17937 767 20160914.230445.000 -82.5 0.2423 34.3 -52.4 -53.4 Locked 0.0168 0.0573 -0.0000 Yes 3.4 195495.272 7168088581.994 Yes 6.9 22.5 3.500 0.0076256 18097 17959 768 20160914.230455.000 -82.0 0.2369 34.3 -52.8 -53.4 Locked 0.0159 0.0464 -0.0001 Yes 3.3 195456.743 7168088549.727 Yes 6.9 23.0 2.800 0.0076167 18118 17980 769 20160914.230505.000 -82.9 0.2331 34.3 -52.6 -53.4 Locked 0.0156 0.0554 0.0000 Yes 3.3 195527.701 7168088610.650 Yes 6.8 23.2 3.100 0.0076079 18139 18001 770 20160914.230515.000 -82.4 0.2357 34.5 -52.9 -53.5 Locked 0.0160 0.0584 -0.0000 Yes 3.4 195532.024 7168088614.849 Yes 6.9 23.0 3.200 0.0075987 18161 18023 771 20160914.230525.000 -82.3 0.2375 34.3 -52.7 -53.4 Locked 0.0159 0.0494 0.0000 Yes 3.4 195456.377 7168088550.973 Yes 6.9 23.0 3.100 0.0075899 18182 18044 772 20160914.230535.000 -82.5 0.2441 34.3 -53.0 -53.6 Locked 0.0154 0.0530 -0.0000 Yes 3.2 195475.360 7168088567.642 Yes 6.8 23.3 2.900 0.0075812 18203 18065 773 20160914.230545.000 -82.4 0.2348 34.3 -52.8 -53.4 Locked 0.0157 0.0612 0.0000 Yes 3.3 195446.516 7168088543.596 Yes 6.9 23.1 2.700 0.0075720 18225 18087 774 20160914.230555.000 -82.5 0.2369 34.3 -52.9 -53.4 Locked 0.0154 0.0495 -0.0000 Yes 3.1 195455.916 7168088552.099 Yes 6.8 23.3 2.600 0.0075633 18246 18108 775 20160914.230605.000 -82.8 0.2336 34.3 -52.8 -53.4 Locked 0.0165 0.0480 -0.0000 Yes 3.3 195459.489 7168088555.637 Yes 6.8 22.7 3.000 0.0075546 18267 18129 776 20160914.230615.000 -83.0 0.2447 34.3 -52.8 -53.4 Locked 0.0174 0.0524 -0.0000 Yes 3.1 195451.076 7168088548.968 Yes 6.7 22.2 2.800 0.0075455 18289 18151 777 20160914.230625.000 -81.7 0.2466 34.3 -52.8 -53.3 Locked 0.0156 0.0449 -0.0000 Yes 3.2 195426.861 7168088528.844 Yes 7.0 23.2 2.600 0.0075369 18310 18172 778 20160914.230635.000 -82.6 0.2375 34.3 -52.6 -53.3 Locked 0.0164 0.0521 -0.0000 Yes 3.2 195472.108 7168088567.842 Yes 6.9 22.7 3.200 0.0075282 18331 18193 779 20160914.230645.000 -82.7 0.2363 34.3 -52.7 -53.3 Locked 0.0155 0.0421 0.0002 Yes 3.2 195429.171 7168088531.774 Yes 7.0 23.2 3.600 0.0075192 18353 18215 780 20160914.230655.000 -82.7 0.2412 34.3 -52.7 -53.4 Locked 0.0162 0.0539 -0.0000 Yes 3.2 195455.256 7168088554.452 Yes 6.8 22.9 2.900 0.0075106 18374 18236 781 20160914.230705.000 -82.1 0.2418 34.3 -52.7 -53.4 Locked 0.0166 0.0537 -0.0000 Yes 3.1 195432.316 7168088535.396 Yes 6.8 22.6 2.700 0.0075020 18395 18257 782 20160914.230715.000 -82.8 0.2409 34.3 -52.7 -53.4 Locked 0.0162 0.0642 0.0000 Yes 3.2 195432.320 7168088535.865 Yes 6.9 22.9 2.900 0.0074931 18417 18279 783 20160914.230725.000 -82.6 0.2379 34.3 -52.7 -53.2 Locked 0.0168 0.0581 0.0000 Yes 3.1 195432.520 7168088536.496 Yes 6.9 22.5 2.600 0.0074845 18438 18300 784 20160914.230735.000 -82.5 0.2450 34.3 -52.8 -53.3 Locked 0.0174 0.0625 -0.0000 Yes 3.2 195430.947 7168088535.615 Yes 6.9 22.2 3.400 0.0074756 18460 18322 785 20160914.230745.000 -82.3 0.2422 34.0 -52.5 -53.0 Locked 0.0165 0.0503 0.0000 Yes 3.1 195409.870 7168088518.126 Yes 7.0 22.7 2.800 0.0074671 18481 18343 786 20160914.230755.000 -82.7 0.2364 34.3 -52.6 -53.2 Locked 0.0171 0.0665 -0.0000 Yes 3.0 195439.056 7168088543.417 Yes 6.9 22.4 2.700 0.0074587 18502 18364 787 20160914.230805.000 -82.6 0.2314 34.3 -52.7 -53.3 Locked 0.0150 0.0428 -0.0000 Yes 3.2 195387.529 7168088500.001 Yes 6.9 23.5 2.800 0.0074498 18524 18386 788 20160914.230815.000 -82.6 0.2442 34.3 -52.6 -53.3 Locked 0.0160 0.0490 -0.0000 Yes 3.1 195408.464 7168088518.260 Yes 6.9 23.0 3.200 0.0074414 18545 18407 789 20160914.230825.000 -82.6 0.2392 34.0 -52.8 -53.4 Locked 0.0166 0.0508 0.0000 Yes 2.9 195429.978 7168088537.007 Yes 6.9 22.6 2.600 0.0074329 18566 18428 790 20160914.230835.000 -82.1 0.2386 34.0 -52.4 -53.1 Locked 0.0158 0.0476 -0.0000 Yes 3.1 195429.529 7168088537.055 Yes 6.9 23.1 3.100 0.0074241 18588 18450 791 20160914.230845.000 -83.3 0.2318 34.0 -52.5 -53.2 Locked 0.0157 0.0524 -0.0000 Yes 3.0 195399.639 7168088512.038 Yes 6.9 23.1 3.000 0.0074158 18609 18471 792 20160914.230855.000 -82.3 0.2400 34.0 -52.7 -53.2 Locked 0.0169 0.0612 0.0000 Yes 3.1 195420.545 7168088530.255 Yes 6.8 22.5 2.700 0.0074074 18630 18492 793 20160914.230905.000 -82.8 0.2278 34.3 -52.6 -53.4 Locked 0.0168 0.0558 0.0000 Yes 3.2 195384.909 7168088500.339 Yes 6.8 22.5 2.600 0.0073987 18652 18514 794 20160914.230915.000 -82.6 0.2354 34.3 -52.6 -53.3 Locked 0.0167 0.0474 -0.0001 Yes 3.1 195386.726 7168088502.298 Yes 6.9 22.6 3.100 0.0073903 18673 18535 795 20160914.230925.000 -82.7 0.2371 34.3 -52.7 -53.4 Locked 0.0162 0.0636 0.0001 Yes 3.1 195421.001 7168088531.880 Yes 6.8 22.9 2.800 0.0073820 18694 18556 796 20160914.230935.000 -82.9 0.2427 34.3 -52.7 -53.2 Locked 0.0151 0.0437 -0.0001 Yes 3.1 195416.014 7168088528.038 Yes 7.1 23.5 3.000 0.0073734 18716 18578 797 20160914.230945.000 -82.6 0.2271 34.0 -52.8 -53.4 Locked 0.0163 0.0671 -0.0000 Yes 3.1 195421.287 7168088532.925 Yes 6.9 22.8 3.000 0.0073651 18737 18599 798 20160914.230955.000 -82.5 0.2461 34.3 -52.7 -53.4 Locked 0.0164 0.0515 0.0000 Yes 3.1 195382.619 7168088500.407 Yes 6.9 22.7 2.600 0.0073569 18758 18620 799 20160914.231005.000 -82.3 0.2411 34.0 -52.6 -53.3 Locked 0.0154 0.0568 -0.0000 Yes 3.1 195396.998 7168088513.036 Yes 6.8 23.3 2.600 0.0073482 18780 18642 800 20160914.231015.000 -82.3 0.2382 34.3 -52.8 -53.4 Locked 0.0158 0.0459 -0.0000 Yes 3.0 195405.636 7168088520.774 Yes 6.8 23.1 2.700 0.0073400 18801 18663 801 20160914.231025.000 -83.0 0.2422 34.0 -52.5 -53.3 Locked 0.0170 0.0597 -0.0000 Yes 3.0 195380.716 7168088499.944 Yes 6.9 22.4 2.700 0.0073318 18822 18684 802 20160914.231035.000 -83.0 0.2430 34.3 -52.7 -53.3 Locked 0.0151 0.0509 -0.0001 Yes 3.1 195386.665 7168088505.384 Yes 6.9 23.5 2.700 0.0073233 18844 18706 803 20160914.231045.000 -82.7 0.2403 34.3 -52.8 -53.6 Locked 0.0161 0.0460 0.0001 Yes 2.9 195383.703 7168088503.235 Yes 6.9 22.9 2.600 0.0073151 18865 18727 804 20160914.231055.000 -82.5 0.2334 34.0 -52.6 -53.4 Locked 0.0159 0.0454 0.0000 Yes 3.1 195364.622 7168088487.361 Yes 6.9 23.0 2.900 0.0073070 18886 18748 805 20160914.231105.000 -82.5 0.2432 34.0 -52.6 -53.2 Locked 0.0166 0.0533 -0.0000 Yes 2.9 195346.250 7168088472.086 Yes 6.9 22.6 3.000 0.0072985 18908 18770 806 20160914.231115.000 -83.0 0.2395 34.0 -52.7 -53.3 Locked 0.0157 0.0511 -0.0000 Yes 2.9 195348.336 7168088474.221 Yes 6.9 23.1 2.600 0.0072904 18929 18791 807 20160914.231125.000 -83.0 0.2237 34.0 -52.2 -52.9 Locked 0.0152 0.0520 0.0000 Yes 3.1 195354.802 7168088480.079 Yes 6.8 23.4 2.700 0.0072819 18951 18813