MG11 ROSE OP G2 20160619.174703.000 20160620.024713.000 20160620.030053.000 STC 1 Max_Size_Reached 83 10. No Yes 3067784391. 0 - No No 9 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -14195 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15805 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -14195 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 805 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15805 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -14195 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 805 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 805 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938930000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 880 ; // FreqTR2 = 749 ; // FreqDnlkCF = 8421786703 ; // Hz FreqDnlkConv = 8350602000 ; // Hz FreqPnSatTrsType = "Transparent" ; // RgdPolarisation = "Combined" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 30 ; // dBHz RgdCFrUnc = 10000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 30.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 0.013 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "OP" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "OP" ; // D2Source = "RCD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "OP" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "OP" ; // G2Source = "RCD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "OP" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "OP" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 99.1 ; // s RgToneSettl = 99.1 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 83.0 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = Yes ; // RgDualRanging = "no" ; // RgDualSlaveIfms = "None" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 8 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 2123366.400 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 72000 ; // s UCMaxDs = 3600 ; // UCDSetKind = "Frequency" ; // StationId = "MG11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "Combined" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 1000000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdEnableLowSnrExt = No ; // RcdTLpBw = 0.00020 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 0.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00020 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 1 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = Yes ; // RcdSCLpSRate = 104857.60 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = Yes ; // ScdExpCN0 = 40 ; // dBHz ScdCFrUnc = 50000 ; // Hz ScdCFrRateUnc = 200 ; // Hz/s ScdCAcqMode = "FFT2" ; // ScdUseAcq = No ; // ScdCLpNoBw = 300.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "RCD" ; // ScdCLp_ChgDel = "STEP" ; // ScdEnableLowSnrExt = No ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 1 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "NRZ/PM" ; // ScdModPRate = 1200000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 1.0 ; // dB ScdModIChCoding = "NRZ-L" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = No ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 75 ; // dBHz GmdCFrUnc = 30000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 1000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdEnableLowSnrExt = No ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 10000000 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime CarrierLevel PolarAngle IncohAgcGain InpPowChY InpPowChX CarrLock CLPhErrStDev CLPhErrPeak CLPhErrMean TimPChLock Es/No-SNR CarrFreqOffs BestLockFreq TonSubLock SubcEstPwr CLSNR TMSNR TMFER TMRxFrames TMGoodFrames 3242 20160620.024713.000 -79.1 0.2412 34.0 -52.5 -52.5 Locked 0.0113 0.0338 0.0000 Yes 3.3 177739.454 7168081684.073 Yes 8.2 26.0 3.100 0.0032405 169728 169178 3243 20160620.024723.000 -79.2 0.2434 34.3 -52.7 -52.8 Locked 0.0114 0.0329 -0.0000 Yes 3.3 177751.816 7168081696.904 Yes 8.1 25.9 3.100 0.0032395 169779 169229 3244 20160620.024733.000 -79.3 0.2356 34.3 -52.7 -52.8 Locked 0.0121 0.0353 -0.0000 Yes 3.3 177717.557 7168081670.044 Yes 8.2 25.4 3.500 0.0032385 169830 169280 3245 20160620.024743.000 -79.2 0.2395 34.3 -53.1 -53.0 Locked 0.0127 0.0394 -0.0000 Yes 3.2 177752.736 7168081702.288 Yes 8.1 25.0 2.800 0.0032376 169881 169331 3246 20160620.024753.000 -79.4 0.2392 34.3 -52.7 -52.8 Locked 0.0121 0.0470 -0.0000 Yes 3.2 177691.297 7168081652.281 Yes 8.1 25.4 2.600 0.0032366 169932 169382 3247 20160620.024803.000 -79.1 0.2470 34.3 -52.7 -52.6 Locked 0.0118 0.0340 0.0000 Yes 3.3 177716.282 7168081675.839 Yes 8.1 25.6 2.900 0.0032356 169984 169434 3248 20160620.024813.000 -79.7 0.2436 34.3 -52.8 -52.7 Locked 0.0122 0.0379 0.0000 Yes 3.2 177715.471 7168081677.434 Yes 8.2 25.3 2.900 0.0032346 170035 169485 3249 20160620.024823.000 -79.6 0.2473 34.3 -52.7 -52.5 Locked 0.0123 0.0378 -0.0000 Yes 3.2 177711.270 7168081676.137 Yes 8.1 25.2 2.600 0.0032337 170086 169536 3250 20160620.024833.000 -79.3 0.2453 34.3 -53.0 -52.7 Locked 0.0125 0.0424 -0.0001 Yes 3.2 177715.764 7168081682.238 Yes 8.1 25.1 3.400 0.0032327 170137 169587 3251 20160620.024843.000 -79.6 0.2434 34.0 -52.9 -52.7 Locked 0.0125 0.0458 0.0000 Yes 3.2 177669.514 7168081645.140 Yes 8.1 25.1 3.400 0.0032317 170189 169639 3252 20160620.024853.000 -79.6 0.2425 34.3 -52.7 -52.6 Locked 0.0123 0.0419 -0.0000 Yes 3.2 177695.652 7168081669.655 Yes 8.1 25.2 3.200 0.0032307 170240 169690 3253 20160620.024903.000 -79.5 0.2445 34.3 -52.7 -52.7 Locked 0.0108 0.0311 0.0000 Yes 3.2 177707.701 7168081682.173 Yes 8.1 26.4 2.700 0.0032298 170291 169741 3254 20160620.024913.000 -79.2 0.2472 34.3 -52.9 -52.8 Locked 0.0121 0.0370 0.0000 Yes 3.2 177659.311 7168081643.239 Yes 8.1 25.4 3.400 0.0032288 170342 169792 3255 20160620.024923.000 -79.7 0.2458 34.0 -52.2 -52.5 Locked 0.0116 0.0365 -0.0002 Yes 3.2 177663.146 7168081648.757 Yes 8.1 25.8 2.700 0.0032278 170394 169844 3256 20160620.024933.000 -79.0 0.2459 34.0 -52.6 -52.7 Locked 0.0121 0.0355 0.0000 Yes 3.1 177652.552 7168081641.986 Yes 8.1 25.4 3.100 0.0032268 170445 169895 3257 20160620.024943.000 -79.4 0.2446 34.3 -52.8 -52.7 Locked 0.0124 0.0368 0.0000 Yes 3.1 177661.667 7168081651.989 Yes 8.1 25.2 2.600 0.0032259 170496 169946 3258 20160620.024953.000 -79.6 0.2337 34.0 -52.5 -52.5 Locked 0.0126 0.0400 0.0000 Yes 3.2 177660.155 7168081652.941 Yes 8.1 25.0 3.200 0.0032249 170547 169997 3259 20160620.025003.000 -79.1 0.2459 34.0 -52.4 -52.7 Locked 0.0124 0.0318 0.0000 Yes 3.1 177633.939 7168081632.860 Yes 8.1 25.2 2.900 0.0032239 170599 170049 3260 20160620.025013.000 -79.8 0.2337 34.0 -52.6 -52.4 Locked 0.0126 0.0337 -0.0000 Yes 3.2 177644.032 7168081643.681 Yes 8.2 25.0 2.600 0.0032230 170650 170100 3261 20160620.025023.000 -79.1 0.2374 34.3 -52.6 -52.4 Locked 0.0115 0.0334 0.0000 Yes 3.1 177620.742 7168081626.081 Yes 8.2 25.8 2.600 0.0032220 170701 170151 3262 20160620.025033.000 -79.3 0.2375 34.0 -52.6 -52.5 Locked 0.0115 0.0366 -0.0000 Yes 3.2 177616.321 7168081624.539 Yes 8.1 25.8 2.900 0.0032210 170752 170202 3263 20160620.025043.000 -79.9 0.2435 34.0 -52.8 -52.7 Locked 0.0119 0.0373 -0.0000 Yes 3.1 177596.217 7168081609.643 Yes 8.1 25.5 2.600 0.0032201 170803 170253 3264 20160620.025053.000 -79.2 0.2388 34.0 -52.6 -52.7 Locked 0.0125 0.0350 -0.0000 Yes 3.2 177616.769 7168081629.349 Yes 8.1 25.1 2.800 0.0032191 170855 170305 3265 20160620.025103.000 -79.3 0.2491 34.0 -52.7 -52.9 Locked 0.0124 0.0366 -0.0000 Yes 3.2 177612.577 7168081627.988 Yes 8.1 25.2 2.500 0.0032181 170906 170356 3266 20160620.025113.000 -79.3 0.2397 34.0 -52.8 -52.9 Locked 0.0111 0.0378 -0.0000 Yes 3.1 177581.598 7168081603.819 Yes 8.1 26.1 2.700 0.0032172 170957 170407 3267 20160620.025123.000 -79.1 0.2329 34.3 -52.9 -52.6 Locked 0.0116 0.0319 -0.0000 Yes 3.2 177584.038 7168081608.095 Yes 8.1 25.8 3.300 0.0032162 171008 170458 3268 20160620.025133.000 -79.6 0.2369 34.0 -52.7 -52.5 Locked 0.0122 0.0359 0.0000 Yes 3.2 177565.080 7168081594.150 Yes 8.1 25.3 2.600 0.0032152 171060 170510 3269 20160620.025143.000 -79.6 0.2383 34.0 -52.6 -52.7 Locked 0.0124 0.0424 -0.0000 Yes 3.1 177579.719 7168081608.802 Yes 8.2 25.2 3.000 0.0032143 171111 170561 3270 20160620.025153.000 -79.5 0.2371 34.3 -52.7 -52.7 Locked 0.0123 0.0331 -0.0001 Yes 3.1 177553.589 7168081588.743 Yes 8.1 25.2 2.800 0.0032133 171162 170612 3271 20160620.025203.000 -79.4 0.2401 34.0 -52.6 -52.6 Locked 0.0124 0.0346 -0.0000 Yes 3.2 177555.566 7168081592.606 Yes 8.1 25.2 2.800 0.0032124 171213 170663 3272 20160620.025213.000 -79.6 0.2375 34.0 -52.6 -52.7 Locked 0.0123 0.0360 0.0001 Yes 3.2 177520.708 7168081565.109 Yes 8.1 25.2 3.100 0.0032114 171265 170715 3273 20160620.025223.000 -79.5 0.2420 34.0 -52.6 -52.7 Locked 0.0121 0.0371 0.0000 Yes 3.1 177542.303 7168081585.663 Yes 8.1 25.4 2.600 0.0032104 171316 170766 3274 20160620.025233.000 -79.4 0.2448 34.0 -52.5 -52.6 Locked 0.0121 0.0416 -0.0000 Yes 3.0 177542.959 7168081588.387 Yes 8.0 25.4 3.000 0.0032095 171367 170817 3275 20160620.025243.000 -79.5 0.2361 34.0 -52.6 -52.8 Locked 0.0110 0.0368 -0.0000 Yes 3.1 177509.369 7168081561.956 Yes 8.0 26.2 2.700 0.0032085 171418 170868 3276 20160620.025253.000 -79.6 0.2421 34.3 -52.7 -52.5 Locked 0.0127 0.0378 0.0000 Yes 3.1 177521.148 7168081574.141 Yes 8.1 25.0 3.000 0.0032076 171469 170919 3277 20160620.025303.000 -79.2 0.2432 34.0 -52.7 -52.6 Locked 0.0126 0.0449 -0.0002 Yes 3.1 177487.207 7168081547.402 Yes 8.2 25.0 3.200 0.0032066 171521 170971 3278 20160620.025313.000 -79.4 0.2454 34.0 -52.7 -52.6 Locked 0.0123 0.0338 -0.0000 Yes 3.1 177500.331 7168081560.721 Yes 8.1 25.2 3.000 0.0032057 171572 171022 3279 20160620.025323.000 -79.7 0.2387 34.3 -52.6 -52.6 Locked 0.0120 0.0428 -0.0000 Yes 3.0 177499.622 7168081562.261 Yes 8.2 25.5 2.900 0.0032047 171623 171073 3280 20160620.025333.000 -79.1 0.2402 34.0 -52.4 -52.6 Locked 0.0123 0.0329 0.0000 Yes 3.1 177479.099 7168081546.930 Yes 8.2 25.2 2.800 0.0032037 171674 171124 3281 20160620.025343.000 -79.5 0.2385 34.0 -52.6 -52.7 Locked 0.0115 0.0278 0.0000 Yes 3.1 177497.846 7168081565.023 Yes 8.1 25.8 2.600 0.0032028 171726 171176 3282 20160620.025353.000 -79.5 0.2458 34.0 -52.6 -52.7 Locked 0.0119 0.0386 -0.0000 Yes 3.0 177486.649 7168081557.622 Yes 8.2 25.5 2.900 0.0032018 171777 171227 3283 20160620.025403.000 -79.9 0.2363 34.0 -52.6 -52.5 Locked 0.0129 0.0414 -0.0000 Yes 2.9 177476.450 7168081551.065 Yes 8.1 24.8 2.300 0.0032009 171828 171278 3284 20160620.025413.000 -79.3 0.2475 34.0 -52.6 -52.6 Locked 0.0125 0.0363 -0.0000 Yes 3.0 177454.220 7168081534.264 Yes 8.1 25.1 2.600 0.0031999 171879 171329 3285 20160620.025423.000 -79.4 0.2435 34.0 -52.5 -52.5 Locked 0.0126 0.0347 -0.0001 Yes 3.1 177453.670 7168081535.912 Yes 8.2 25.0 2.900 0.0031990 171931 171381 3286 20160620.025433.000 -79.7 0.2431 34.0 -52.6 -52.4 Locked 0.0121 0.0389 0.0000 Yes 3.1 177438.830 7168081525.392 Yes 8.2 25.4 2.900 0.0031980 171982 171432 3287 20160620.025443.000 -79.4 0.2479 34.0 -52.7 -52.6 Locked 0.0128 0.0470 0.0000 Yes 3.0 177464.394 7168081549.260 Yes 8.1 24.9 2.900 0.0031971 172033 171483 3288 20160620.025453.000 -79.6 0.2417 34.0 -52.6 -52.3 Locked 0.0123 0.0397 -0.0000 Yes 3.0 177441.389 7168081531.780 Yes 8.1 25.2 2.600 0.0031961 172084 171534 3289 20160620.025503.000 -79.2 0.2451 34.0 -52.6 -52.7 Locked 0.0123 0.0383 -0.0000 Yes 3.2 177452.716 7168081543.521 Yes 8.1 25.2 2.500 0.0031951 172136 171586 3290 20160620.025513.000 -79.8 0.2481 34.0 -52.6 -52.5 Locked 0.0125 0.0341 0.0000 Yes 3.1 177423.999 7168081521.170 Yes 8.1 25.1 3.000 0.0031942 172187 171637 3291 20160620.025523.000 -79.3 0.2446 34.0 -52.7 -52.6 Locked 0.0124 0.0435 0.0000 Yes 3.1 177430.669 7168081528.937 Yes 8.2 25.2 2.900 0.0031933 172238 171688 3292 20160620.025533.000 -79.5 0.2433 34.0 -52.6 -52.6 Locked 0.0110 0.0321 0.0001 Yes 3.1 177436.899 7168081536.324 Yes 8.1 26.2 3.000 0.0031923 172289 171739 3293 20160620.025543.000 -79.1 0.2433 34.0 -52.7 -52.4 Locked 0.0118 0.0398 -0.0000 Yes 3.0 177405.839 7168081511.964 Yes 8.2 25.6 2.600 0.0031914 172340 171790 3294 20160620.025553.000 -79.4 0.2451 34.0 -52.6 -52.4 Locked 0.0117 0.0381 0.0000 Yes 3.0 177394.552 7168081504.433 Yes 8.1 25.7 3.100 0.0031904 172392 171842 3295 20160620.025603.000 -80.0 0.2409 34.0 -52.5 -52.7 Locked 0.0124 0.0380 0.0000 Yes 3.0 177382.284 7168081496.061 Yes 8.0 25.2 2.800 0.0031895 172443 171893 3296 20160620.025613.000 -79.5 0.2383 34.0 -52.7 -52.6 Locked 0.0124 0.0343 -0.0000 Yes 3.0 177381.852 7168081497.759 Yes 8.1 25.2 2.800 0.0031885 172494 171944 3297 20160620.025623.000 -79.8 0.2304 34.0 -52.4 -52.3 Locked 0.0124 0.0363 -0.0000 Yes 3.0 177368.231 7168081488.226 Yes 8.1 25.2 2.600 0.0031876 172545 171995 3298 20160620.025633.000 -79.1 0.2379 34.0 -52.6 -52.4 Locked 0.0125 0.0428 -0.0000 Yes 2.9 177366.475 7168081488.790 Yes 8.1 25.1 2.200 0.0031866 172597 172047 3299 20160620.025643.000 -79.6 0.2427 33.8 -52.2 -52.4 Locked 0.0125 0.0375 -0.0000 Yes 3.0 177372.990 7168081496.387 Yes 8.1 25.1 3.100 0.0031857 172648 172098 3300 20160620.025653.000 -79.9 0.2439 34.0 -52.6 -52.6 Locked 0.0126 0.0342 -0.0000 Yes 2.9 177339.053 7168081469.547 Yes 8.1 25.0 2.600 0.0031847 172699 172149 3301 20160620.025703.000 -78.9 0.2442 34.0 -52.6 -52.7 Locked 0.0116 0.0397 -0.0000 Yes 3.0 177349.504 7168081480.489 Yes 8.1 25.8 2.800 0.0031838 172750 172200 3302 20160620.025713.000 -79.5 0.2391 34.0 -52.7 -52.7 Locked 0.0113 0.0370 -0.0000 Yes 3.0 177340.312 7168081474.703 Yes 8.1 26.0 2.700 0.0031828 172802 172252 3303 20160620.025723.000 -79.2 0.2432 34.0 -52.6 -52.5 Locked 0.0126 0.0362 0.0000 Yes 2.9 177320.550 7168081459.915 Yes 8.1 25.0 2.800 0.0031819 172853 172303 3304 20160620.025733.000 -79.3 0.2483 34.0 -52.5 -52.6 Locked 0.0122 0.0355 -0.0000 Yes 3.0 177338.120 7168081476.901 Yes 8.1 25.3 2.900 0.0031810 172904 172354 3305 20160620.025743.000 -79.3 0.2355 34.0 -52.6 -52.5 Locked 0.0121 0.0462 -0.0000 Yes 3.0 177332.815 7168081474.411 Yes 8.1 25.4 2.900 0.0031800 172955 172405 3306 20160620.025753.000 -79.6 0.2330 33.8 -52.4 -52.5 Locked 0.0122 0.0455 -0.0000 Yes 2.9 177309.341 7168081456.452 Yes 8.1 25.3 2.800 0.0031791 173007 172457 3307 20160620.025803.000 -79.4 0.2490 34.0 -52.6 -52.1 Locked 0.0129 0.0420 -0.0000 Yes 2.8 177309.651 7168081458.731 Yes 8.1 24.8 2.900 0.0031781 173058 172508 3308 20160620.025813.000 -79.8 0.2350 34.0 -52.6 -52.5 Locked 0.0120 0.0369 -0.0000 Yes 3.0 177301.844 7168081454.099 Yes 8.1 25.5 2.400 0.0031772 173109 172559 3309 20160620.025823.000 -79.5 0.2425 34.0 -52.8 -52.7 Locked 0.0116 0.0340 -0.0000 Yes 2.9 177287.836 7168081444.180 Yes 8.1 25.8 2.600 0.0031763 173160 172610 3310 20160620.025833.000 -79.1 0.2480 34.0 -52.5 -52.4 Locked 0.0125 0.0492 0.0000 Yes 2.9 177280.151 7168081439.644 Yes 8.2 25.1 2.700 0.0031753 173211 172661 3311 20160620.025843.000 -79.0 0.2479 34.0 -52.6 -52.6 Locked 0.0118 0.0360 -0.0000 Yes 2.9 177285.888 7168081446.525 Yes 8.1 25.6 1.900 0.0031744 173263 172713 3312 20160620.025853.000 -79.4 0.2405 34.0 -52.7 -52.6 Locked 0.0115 0.0364 0.0000 Yes 2.9 177270.462 7168081435.387 Yes 8.1 25.8 2.500 0.0031734 173314 172764 3313 20160620.025903.000 -79.6 0.2463 34.0 -52.6 -52.3 Locked 0.0118 0.0377 0.0000 Yes 2.9 177287.657 7168081452.013 Yes 8.1 25.6 3.000 0.0031725 173365 172815 3314 20160620.025913.000 -79.0 0.2388 34.0 -52.5 -52.6 Locked 0.0129 0.0403 -0.0000 Yes 3.0 177264.676 7168081434.436 Yes 8.0 24.8 3.300 0.0031716 173416 172866 3315 20160620.025923.000 -79.3 0.2454 34.0 -52.6 -52.4 Locked 0.0126 0.0404 0.0000 Yes 2.9 177256.132 7168081429.143 Yes 8.1 25.0 2.900 0.0031706 173468 172918 3316 20160620.025933.000 -79.5 0.2400 34.0 -52.6 -52.5 Locked 0.0126 0.0367 -0.0002 Yes 2.9 177273.913 7168081446.256 Yes 8.1 25.0 2.700 0.0031697 173519 172969 3317 20160620.025943.000 -79.5 0.2384 34.0 -53.0 -52.7 Locked 0.0127 0.0376 -0.0001 Yes 2.9 177248.533 7168081426.621 Yes 8.1 25.0 2.400 0.0031688 173570 173020 3318 20160620.025953.000 -79.1 0.2459 34.0 -52.6 -52.3 Locked 0.0111 0.0319 -0.0001 Yes 2.9 177232.141 7168081414.635 Yes 8.1 26.1 2.800 0.0031678 173621 173071 3319 20160620.030003.000 -79.2 0.2432 34.0 -52.6 -52.4 Locked 0.0121 0.0361 0.0000 Yes 2.9 177230.666 7168081415.342 Yes 8.1 25.4 2.800 0.0031669 173673 173123 3320 20160620.030013.000 -79.4 0.2446 34.0 -52.5 -52.3 Locked 0.0118 0.0424 -0.0000 Yes 2.9 177231.363 7168081417.892 Yes 8.1 25.6 2.700 0.0031659 173724 173174 3321 20160620.030023.000 -79.5 0.2443 33.8 -52.5 -52.5 Locked 0.0120 0.0416 -0.0000 Yes 2.8 177219.253 7168081409.538 Yes 8.1 25.5 2.500 0.0031650 173775 173225 3322 20160620.030033.000 -79.1 0.2433 34.0 -52.5 -52.5 Locked 0.0117 0.0342 -0.0000 Yes 2.8 177208.550 7168081402.374 Yes 8.1 25.7 2.700 0.0031641 173826 173276 3323 20160620.030043.000 -79.9 0.2282 34.0 -52.4 -52.5 Locked 0.0119 0.0432 -0.0001 Yes 2.8 177228.018 7168081420.890 Yes 8.1 25.5 2.600 0.0031632 173877 173327 3324 20160620.030053.000 -79.6 0.2432 34.0 -52.3 -52.4 Locked 0.0121 0.0454 -0.0000 Yes 2.8 177195.193 7168081394.888 Yes 8.1 25.4 2.300 0.0031622 173929 173379