NN13 ROSE CL RG 20160210.135357.000 20160210.135420.000 20160210.135625.000 STC 1 DAP_Started 126 1. No Yes 3067783962. 260565407. EPD_from_configuration No No 0 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -15000 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15000 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -15000 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 0 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15000 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -15000 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 0 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 812 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938048000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 1 ; // FreqTR2 = 1 ; // FreqDnlkCF = 7168048812 ; // Hz FreqDnlkConv = 7097848000 ; // Hz FreqPnSatTrsType = "Regenerative" ; // RgdPolarisation = "X" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 46 ; // dBHz RgdCFrUnc = 100000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 100.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 1.260 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "CL" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "CL" ; // D2Source = "RGD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "CL" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "CL" ; // G2Source = "RGD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "CL" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "CL" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 1.0 ; // s RgToneSettl = 1.0 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 0.5 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = No ; // RgDualRanging = "slave" ; // RgDualSlaveIfms = "None" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 1 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 10000.000 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 10 ; // s UCMaxDs = 10 ; // UCDSetKind = "Frequency" ; // StationId = "NN13" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "X" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 34 ; // dBHz RcdCFrUnc = 10000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 30.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdEnableLowSnrExt = No ; // RcdTLpBw = 0.01000 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 8192.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.01000 ; // fsr RcdSCLpModInd = 0.50 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 192 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = No ; // RcdSCLpSRate = 42.67 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = No ; // ScdExpCN0 = 67 ; // dBHz ScdCFrUnc = 30000 ; // Hz ScdCFrRateUnc = 0 ; // Hz/s ScdCAcqMode = "Sweep" ; // ScdUseAcq = No ; // ScdCLpNoBw = 1000.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "DD" ; // ScdCLp_ChgDel = "STEP" ; // ScdEnableLowSnrExt = No ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 2 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "BPSK" ; // ScdModPRate = 2500000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 0.0 ; // dB ScdModIChCoding = "NRZ-L swap'd" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = Yes ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 46 ; // dBHz GmdCFrUnc = 10000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 150.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdEnableLowSnrExt = Yes ; // GmdTLpBw = 0.00050 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "NDA" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 138461 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.5" ; //
// Number SampleTime Delay Code AmbF SpCF SpTF CorF KD-1 RecF ToneLevel CodeLevel PhaseError ToneLoopSN DownModIndex 24 20160210.135420.000 3.165710086538e-07 0 No No No Yes 7.194063508687e-06 Yes -8.5 0.000 0.000 47.29629454882 0.711 25 20160210.135421.000 3.16288903889e-07 0 No No No Yes 0 Yes -8.5 0.000 0.000 49.99629454882 0.711 26 20160210.135422.000 3.158937719509e-07 2 No No No Yes -3.186340080674e-14 Yes -8.5 0.000 0.000 49.99629454882 0.708 27 20160210.135423.000 1.161891758695e-05 4 No No No Yes -5.284661597216e-14 Yes -8.5 0.946 0.000 50.09629454882 0.707 28 20160210.135424.000 3.422491765795e-05 6 No No No Yes -1.434408147816e-13 Yes -8.5 0.930 0.000 49.99629454882 0.708 29 20160210.135425.000 0.0001246472682231 8 No No No Yes -1.434408147816e-13 Yes -8.5 0.930 0.000 49.99629454882 0.709 30 20160210.135426.000 4.083695668781e-06 10 No No No Yes 7.971401316809e-14 Yes -8.5 -0.927 0.000 47.09629454882 0.712 31 20160210.135427.000 4.083865676218e-06 12 No No No Yes -2.48689957516e-14 Yes -8.5 -0.927 0.000 47.09629454882 0.71 32 20160210.135428.000 4.083489381009e-06 14 No No No Yes 3.774758283726e-14 Yes -8.5 0.926 0.000 47.09629454882 0.709 33 20160210.135429.000 4.083697064427e-06 14 Yes No No Yes 2.122746423083e-13 Yes -8.5 0.930 0.000 47.09629454882 0.711 34 20160210.135430.000 4.083650434402e-06 14 Yes No No Yes 2.122746423083e-13 Yes -8.5 0.930 0.000 47.09629454882 0.712 35 20160210.135431.000 4.083726251505e-06 14 Yes No No Yes 1.145750161413e-13 Yes -8.5 0.930 0.000 50.39629454882 0.711 36 20160210.135432.000 4.083877421227e-06 14 Yes No No Yes -1.099120794379e-14 Yes -8.5 0.930 0.000 50.19629454882 0.709 37 20160210.135433.000 4.083774276738e-06 14 Yes No No Yes 2.886579864025e-15 Yes -8.5 0.932 0.000 50.49629454882 0.71 38 20160210.135434.000 4.083473333818e-06 14 Yes No No Yes 1.494360191146e-13 Yes -8.5 0.931 0.000 50.39629454882 0.711 39 20160210.135435.000 4.08346438712e-06 14 Yes No No Yes 1.494360191146e-13 Yes -8.5 0.931 0.000 50.39629454882 0.712 40 20160210.135436.000 4.083634393681e-06 14 Yes No No Yes -1.225686219186e-13 Yes -8.5 0.931 0.000 47.79629454882 0.711 41 20160210.135437.000 4.083832659666e-06 14 Yes No No Yes 1.68753899743e-14 Yes -8.5 0.931 0.000 47.79629454882 0.711 42 20160210.135438.000 4.08367300159e-06 14 Yes No No Yes 7.283063041541e-14 Yes -8.5 0.930 0.000 47.79629454882 0.71 43 20160210.135439.000 4.083805332718e-06 14 Yes No No Yes 1.774136393351e-13 Yes -8.5 0.931 0.000 47.79629454882 0.71 44 20160210.135440.000 4.083683350952e-06 14 Yes No No Yes 1.774136393351e-13 Yes -8.5 0.931 0.000 47.79629454882 0.712 45 20160210.135441.000 4.083533110638e-06 14 Yes No No Yes 9.370282327836e-14 Yes -8.5 0.928 0.000 48.89629454882 0.71 46 20160210.135442.000 4.083759633417e-06 14 Yes No No Yes -3.186340080674e-14 Yes -8.5 0.929 0.000 48.99629454882 0.71 47 20160210.135443.000 4.083703582999e-06 14 Yes No No Yes 2.39808173319e-14 Yes -8.5 0.929 0.000 48.99629454882 0.712 48 20160210.135444.000 4.083788820056e-06 14 Yes No No Yes 5.884182030513e-14 Yes -8.5 0.930 0.000 48.99629454882 0.708 49 20160210.135445.000 4.083751609328e-06 14 Yes No No Yes 5.884182030513e-14 Yes -8.5 0.930 0.000 48.99629454882 0.708 50 20160210.135446.000 4.08382742665e-06 14 Yes No No Yes 8.659739592076e-14 Yes -8.5 0.930 0.000 49.19629454882 0.711 51 20160210.135447.000 4.083865567444e-06 14 Yes No No Yes 2.39808173319e-14 Yes -8.5 0.931 0.000 49.49629454882 0.711 52 20160210.135448.000 4.08380951878e-06 14 Yes No No Yes 1.565414464722e-13 Yes -8.5 0.930 0.000 49.39629454882 0.709 53 20160210.135449.000 4.084007783011e-06 14 Yes No No Yes -1.787459069647e-14 Yes -8.5 0.931 0.000 49.49629454882 0.713 54 20160210.135450.000 4.083593812041e-06 14 Yes No No Yes -1.787459069647e-14 Yes -8.5 0.931 0.000 49.49629454882 0.712 55 20160210.135451.000 4.083792076272e-06 14 Yes No No Yes 3.725908470642e-13 Yes -8.4 0.931 0.000 49.49629454882 0.713 56 20160210.135452.000 4.083849054781e-06 14 Yes No No Yes -1.783018177548e-13 Yes -8.4 0.928 0.000 49.29629454882 0.714 57 20160210.135453.000 4.084066158044e-06 14 Yes No No Yes -8.071321389025e-14 Yes -8.5 0.930 0.000 49.39629454882 0.71 58 20160210.135454.000 4.08356741494e-06 14 Yes No No Yes 4.485301019486e-14 Yes -8.5 0.930 0.000 49.49629454882 0.71 59 20160210.135455.000 4.083407758619e-06 14 Yes No No Yes 4.485301019486e-14 Yes -8.5 0.930 0.000 49.49629454882 0.711 60 20160210.135456.000 4.083803820403e-06 14 Yes No No Yes -3.248512570053e-13 Yes -8.5 0.930 0.000 46.99629454882 0.71 61 20160210.135457.000 4.083860800667e-06 14 Yes No No Yes 1.634248292248e-13 Yes -8.5 0.931 0.000 46.99629454882 0.709 62 20160210.135458.000 4.083757656178e-06 14 Yes No No Yes -5.972999872483e-14 Yes -8.5 0.931 0.000 46.99629454882 0.711 63 20160210.135459.000 4.083607417632e-06 14 Yes No No Yes -1.295630269738e-13 Yes -8.5 0.930 0.000 46.99629454882 0.712 64 20160210.135500.000 4.083504273788e-06 14 Yes No No Yes -1.295630269738e-13 Yes -8.5 0.930 0.000 46.99629454882 0.709 65 20160210.135501.000 4.08369311916e-06 14 Yes No No Yes 2.39808173319e-14 Yes -8.5 0.932 0.000 49.19629454882 0.71 66 20160210.135502.000 4.083712422238e-06 14 Yes No No Yes 3.028688411177e-13 Yes -8.5 0.933 0.000 49.29629454882 0.708 67 20160210.135503.000 4.083618697704e-06 14 Yes No No Yes -2.271516308383e-13 Yes -8.5 0.934 0.000 49.29629454882 0.709 68 20160210.135504.000 4.083600324472e-06 14 Yes No No Yes 1.21458398894e-13 Yes -8.5 0.933 0.000 49.29629454882 0.71 69 20160210.135505.000 4.083497180861e-06 14 Yes No No Yes 1.21458398894e-13 Yes -8.5 0.933 0.000 49.29629454882 0.71 70 20160210.135506.000 4.083770796833e-06 14 Yes No No Yes 2.888800310075e-13 Yes -8.5 0.933 0.000 47.29629454882 0.71 71 20160210.135507.000 4.083884283666e-06 14 Yes No No Yes 4.14557277395e-13 Yes -8.5 0.934 0.000 47.39629454882 0.71 72 20160210.135508.000 4.083555076058e-06 14 Yes No No Yes -1.155742168635e-13 Yes -8.5 0.931 0.000 46.99629454882 0.712 73 20160210.135509.000 4.083555547999e-06 14 Yes No No Yes -3.996802888651e-15 Yes -8.5 0.930 0.000 47.29629454882 0.71 74 20160210.135510.000 4.08356542542e-06 14 Yes No No Yes -3.996802888651e-15 Yes -8.5 0.930 0.000 47.29629454882 0.711 75 20160210.135511.000 4.083999172286e-06 14 Yes No No Yes 2.886579864025e-15 Yes -8.5 0.931 0.000 49.19629454882 0.71 76 20160210.135512.000 4.083679384194e-06 14 Yes No No Yes 2.886579864025e-15 Yes -8.5 0.930 0.000 49.19629454882 0.711 77 20160210.135513.000 4.083839979133e-06 14 Yes No No Yes -5.284661597216e-14 Yes -8.5 0.932 0.000 49.19629454882 0.712 78 20160210.135514.000 4.083652057247e-06 14 Yes No No Yes 4.485301019486e-14 Yes -8.5 0.932 0.000 49.19629454882 0.709 79 20160210.135515.000 4.083605434459e-06 14 Yes No No Yes 4.485301019486e-14 Yes -8.5 0.932 0.000 49.19629454882 0.71 80 20160210.135516.000 4.083417512572e-06 14 Yes No No Yes 3.086420008458e-14 Yes -8.5 0.931 0.000 49.29629454882 0.709 81 20160210.135517.000 4.083879516012e-06 14 Yes No No Yes 1.285638262516e-13 Yes -8.5 0.932 0.000 49.39629454882 0.711 82 20160210.135518.000 4.083766945866e-06 14 Yes No No Yes -8.770761894539e-14 Yes -8.5 0.931 0.000 49.29629454882 0.711 83 20160210.135519.000 4.083607294809e-06 14 Yes No No Yes -1.015854067532e-13 Yes -8.5 0.930 0.000 49.29629454882 0.711 84 20160210.135520.000 4.083588915437e-06 14 Yes No No Yes -1.015854067532e-13 Yes -8.5 0.930 0.000 49.29629454882 0.71 85 20160210.135521.000 4.083730672221e-06 14 Yes No No Yes -1.085798118083e-13 Yes -8.5 0.930 0.000 48.49629454882 0.713 86 20160210.135522.000 4.083749969158e-06 14 Yes No No Yes -3.885780586188e-14 Yes -8.5 0.930 0.000 48.29629454882 0.711 87 20160210.135523.000 4.083656250326e-06 14 Yes No No Yes -8.770761894539e-14 Yes -8.5 0.929 0.000 48.59629454882 0.709 88 20160210.135524.000 4.083760317643e-06 14 Yes No No Yes -1.787459069647e-14 Yes -8.5 0.929 0.000 48.29629454882 0.712 89 20160210.135525.000 4.083525315721e-06 14 Yes No No Yes -1.787459069647e-14 Yes -8.5 0.929 0.000 48.29629454882 0.708 90 20160210.135526.000 4.083714153418e-06 14 Yes No No Yes -2.48689957516e-14 Yes -8.5 0.930 0.000 49.79629454882 0.709 91 20160210.135527.000 4.083884167874e-06 14 Yes No No Yes 3.086420008458e-14 Yes -8.5 0.930 0.000 49.79629454882 0.709 92 20160210.135528.000 4.083338323681e-06 14 Yes No No Yes -1.099120794379e-14 Yes -8.5 0.931 0.000 49.79629454882 0.712 93 20160210.135529.000 4.083527175413e-06 14 Yes No No Yes -4.585221091702e-14 Yes -8.5 0.930 0.000 49.79629454882 0.709 94 20160210.135530.000 4.08366891904e-06 14 Yes No No Yes -4.585221091702e-14 Yes -8.5 0.930 0.000 49.79629454882 0.71 95 20160210.135531.000 4.083716486367e-06 14 Yes No No Yes 3.796962744218e-13 Yes -8.5 0.931 0.000 47.39629454882 0.709 96 20160210.135532.000 4.083651011608e-06 14 Yes No No Yes -2.201572257832e-13 Yes -8.5 0.930 0.000 47.09629454882 0.71 97 20160210.135533.000 4.08383986378e-06 14 Yes No No Yes -4.585221091702e-14 Yes -8.5 0.931 0.000 47.29629454882 0.709 98 20160210.135534.000 4.083783808098e-06 14 Yes No No Yes 1.21458398894e-13 Yes -8.5 0.930 0.000 47.29629454882 0.71 99 20160210.135535.000 4.083944403476e-06 14 Yes No No Yes 9.992007221626e-15 Yes -8.5 0.930 0.000 47.29629454882 0.711 100 20160210.135536.000 4.083737643435e-06 14 Yes No No Yes 2.886579864025e-15 Yes -8.5 0.929 0.000 46.39629454882 0.712 101 20160210.135537.000 4.083851143426e-06 14 Yes No No Yes 1.982858321981e-13 Yes -8.5 0.929 0.000 46.39629454882 0.712 102 20160210.135538.000 4.083823344538e-06 14 Yes No No Yes -4.29434265925e-13 Yes -8.5 0.930 0.000 46.39629454882 0.711 103 20160210.135539.000 4.083654274842e-06 14 Yes No No Yes 1.145750161413e-13 Yes -8.5 0.931 0.000 46.49629454882 0.712 104 20160210.135540.000 4.083946721951e-06 14 Yes No No Yes 1.145750161413e-13 Yes -8.5 0.931 0.000 46.49629454882 0.71 105 20160210.135541.000 4.083617528379e-06 14 Yes No No Yes -5.284661597216e-14 Yes -8.5 0.931 0.000 50.49629454882 0.71 106 20160210.135542.000 4.083721595696e-06 14 Yes No No Yes 2.262634524186e-13 Yes -8.5 0.931 0.000 50.59629454882 0.71 107 20160210.135543.000 4.083722069391e-06 14 Yes No No Yes 2.262634524186e-13 Yes -8.5 0.929 0.000 50.59629454882 0.711 108 20160210.135544.000 4.083722527296e-06 14 Yes No No Yes 8.659739592076e-14 Yes -8.5 0.930 0.000 50.29629454882 0.71 109 20160210.135545.000 4.083779512824e-06 14 Yes No No Yes 8.659739592076e-14 Yes -8.5 0.930 0.000 50.29629454882 0.711 110 20160210.135546.000 4.08357275366e-06 14 Yes No No Yes -4.585221091702e-14 Yes -8.5 0.930 0.000 49.59629454882 0.711 111 20160210.135547.000 4.083676834245e-06 14 Yes No No Yes -1.992850329202e-13 Yes -8.5 0.930 0.000 49.29629454882 0.711 112 20160210.135548.000 4.083545426274e-06 14 Yes No No Yes -5.284661597216e-14 Yes -8.5 0.930 0.000 49.59629454882 0.71 113 20160210.135549.000 4.083743697522e-06 14 Yes No No Yes 7.971401316809e-14 Yes -8.5 0.930 0.000 49.59629454882 0.711 114 20160210.135550.000 4.083791250814e-06 14 Yes No No Yes 7.971401316809e-14 Yes -8.5 0.930 0.000 49.59629454882 0.712 115 20160210.135551.000 4.083584504808e-06 14 Yes No No Yes -1.852962228099e-13 Yes -8.5 0.930 0.000 48.19629454882 0.711 116 20160210.135552.000 4.083641477178e-06 14 Yes No No Yes 1.703082119775e-13 Yes -8.5 0.931 0.000 48.39629454882 0.712 117 20160210.135553.000 4.083754977169e-06 14 Yes No No Yes -1.922906278651e-13 Yes -8.5 0.929 0.000 48.19629454882 0.711 118 20160210.135554.000 4.083557636644e-06 14 Yes No No Yes 1.00586206031e-13 Yes -8.5 0.930 0.000 48.39629454882 0.71 119 20160210.135555.000 4.083765326531e-06 14 Yes No No Yes 1.00586206031e-13 Yes -8.5 0.930 0.000 48.39629454882 0.711 120 20160210.135556.000 4.083728108127e-06 14 Yes No No Yes -2.341460358935e-13 Yes -8.5 0.930 0.000 47.29629454882 0.711 121 20160210.135557.000 4.083737999584e-06 14 Yes No No Yes 5.884182030513e-14 Yes -8.5 0.931 0.000 47.29629454882 0.71 122 20160210.135558.000 4.083389954699e-06 14 Yes No No Yes 2.540190280342e-13 Yes -8.5 0.930 0.000 47.19629454882 0.711 123 20160210.135559.000 4.083795444771e-06 14 Yes No No Yes 1.354472090043e-13 Yes -8.5 0.932 0.000 47.29629454882 0.709 124 20160210.135600.000 4.083503913472e-06 14 Yes No No Yes 1.354472090043e-13 Yes -8.5 0.932 0.000 47.29629454882 0.711 125 20160210.135601.000 4.083551481238e-06 14 Yes No No Yes -9.470202400053e-14 Yes -8.5 0.932 0.000 51.19629454882 0.711 126 20160210.135602.000 4.083401233907e-06 14 Yes No No Yes 1.145750161413e-13 Yes -8.5 0.931 0.000 51.19629454882 0.71 127 20160210.135603.000 4.083750210063e-06 14 Yes No No Yes 3.517186542012e-13 Yes -8.5 0.930 0.000 51.09629454882 0.71 128 20160210.135604.000 4.083534031054e-06 14 Yes No No Yes 4.911626660942e-13 Yes -8.5 0.930 0.000 51.19629454882 0.711 129 20160210.135605.000 4.083572179524e-06 14 Yes No No Yes 4.911626660942e-13 Yes -8.5 0.930 0.000 51.19629454882 0.709 130 20160210.135606.000 4.083789274453e-06 14 Yes No No Yes -2.48689957516e-14 Yes -8.5 0.931 0.000 49.49629454882 0.711 131 20160210.135607.000 4.083808584548e-06 14 Yes No No Yes 3.086420008458e-14 Yes -8.5 0.931 0.000 49.59629454882 0.712 132 20160210.135608.000 4.083771367021e-06 14 Yes No No Yes -1.295630269738e-13 Yes -8.5 0.931 0.000 49.49629454882 0.711 133 20160210.135609.000 4.083771838962e-06 14 Yes No No Yes 6.572520305781e-14 Yes -8.5 0.931 0.000 49.49629454882 0.711 134 20160210.135610.000 4.083706364642e-06 14 Yes No No Yes 6.572520305781e-14 Yes -8.5 0.931 0.000 49.49629454882 0.71 135 20160210.135611.000 4.083857526907e-06 14 Yes No No Yes 1.565414464722e-13 Yes -8.5 0.931 0.000 47.79629454882 0.711 136 20160210.135612.000 4.083509510093e-06 14 Yes No No Yes -6.672440377997e-14 Yes -8.5 0.930 0.000 47.79629454882 0.71 137 20160210.135613.000 4.083472291689e-06 14 Yes No No Yes -5.972999872483e-14 Yes -8.5 0.930 0.000 47.79629454882 0.709 138 20160210.135614.000 4.083698805695e-06 14 Yes No No Yes 1.354472090043e-13 Yes -8.5 0.932 0.000 47.69629454882 0.71 139 20160210.135615.000 4.083680426323e-06 14 Yes No No Yes 1.354472090043e-13 Yes -8.5 0.932 0.000 47.69629454882 0.711 140 20160210.135616.000 4.083709169093e-06 14 Yes No No Yes 1.634248292248e-13 Yes -8.5 0.931 0.000 49.49629454882 0.709 141 20160210.135617.000 4.083276353828e-06 14 Yes No No Yes 1.703082119775e-13 Yes -8.5 0.931 0.000 49.49629454882 0.709 142 20160210.135618.000 4.083465191593e-06 14 Yes No No Yes -3.996802888651e-15 Yes -8.5 0.930 0.000 49.49629454882 0.708 143 20160210.135619.000 4.083305526651e-06 14 Yes No No Yes 1.00586206031e-13 Yes -8.5 0.930 0.000 49.39629454882 0.709 144 20160210.135620.000 4.083249498821e-06 14 Yes No No Yes 1.00586206031e-13 Yes -8.5 0.930 0.000 49.39629454882 0.71 145 20160210.135621.000 4.083165185908e-06 14 Yes No No Yes -2.620126338115e-13 Yes -8.5 0.929 0.000 48.99629454882 0.709 146 20160210.135622.000 4.083608337815e-06 14 Yes No No Yes 6.30828722592e-13 Yes -8.5 0.931 0.000 49.09629454882 0.71 147 20160210.135623.000 4.08349576767e-06 14 Yes No No Yes -1.574296248918e-13 Yes -8.5 0.932 0.000 49.09629454882 0.709 148 20160210.135624.000 4.083477415492e-06 14 Yes No No Yes -4.155564781172e-13 Yes -8.5 0.932 0.000 48.99629454882 0.71 149 20160210.135625.000 4.083562644654e-06 14 Yes No No Yes -4.155564781172e-13 Yes -8.5 0.932 0.000 48.99629454882 0.711